diff --git a/Makefile b/Makefile index 5bac4fbf..ebb97006 100644 --- a/Makefile +++ b/Makefile @@ -620,7 +620,7 @@ $(RCX_BLOCKS): rcx-% : ./def/%.def sh -c "cd /caravel; openroad -exit ./def/tmp/sta_$*.tcl |& tee ./def/tmp/sta_$*.log" -caravel_timing: ./def/caravel.def ./sdc/caravel.sdc ./verilog/gl/caravel.v check-mcw +caravel_timing_typ: ./def/caravel.def ./sdc/caravel.sdc ./verilog/gl/caravel.v check-mcw mkdir -p ./def/tmp ## Run OpenSTA echo "\ @@ -748,15 +748,337 @@ caravel_timing: ./def/caravel.def ./sdc/caravel.sdc ./verilog/gl/caravel.v check read_spef -path gpio_defaults_block_35 ./spef/gpio_defaults_block.spef;\ read_spef -path gpio_defaults_block_36 ./spef/gpio_defaults_block.spef;\ read_spef -path gpio_defaults_block_37 ./spef/gpio_defaults_block.spef;\ + read_spef ./spef/caravel.spef;\ read_sdc -echo ./sdc/caravel.sdc;\ report_checks -path_delay min -fields {slew cap input nets fanout} -format full_clock_expanded -group_count 50;\ report_checks -path_delay max -fields {slew cap input nets fanout} -format full_clock_expanded -group_count 50;\ report_worst_slack -max ;\ report_worst_slack -min ;\ - report_checks -path_delay min -fields {slew cap input nets fanout} -format full_clock_expanded -slack_max 0.18 -group_count 10;\ - " > ./def/tmp/caravel_timing.tcl - sta -exit ./def/tmp/caravel_timing.tcl | tee ./signoff/caravel/caravel_timing.log + echo \" Management Area Interface \";\ + report_checks -to soc/core_clk -unconstrained -group_count 1;\ + echo \" User project Interface \";\ + report_checks -to mprj/wb_clk_i -unconstrained -group_count 1;\ + report_checks -to mprj/wb_rst_i -unconstrained -group_count 1;\ + report_checks -to mprj/wbs_cyc_i -unconstrained -group_count 1;\ + report_checks -to mprj/wbs_stb_i -unconstrained -group_count 1;\ + report_checks -to mprj/wbs_we_i -unconstrained -group_count 1;\ + report_checks -to mprj/wbs_sel_i[*] -unconstrained -group_count 4;\ + report_checks -to mprj/wbs_adr_i[*] -unconstrained -group_count 32;\ + report_checks -to mprj/io_in[*] -unconstrained -group_count 32;\ + report_checks -to mprj/user_clock2 -unconstrained -group_count 32;\ + report_checks -to mprj/user_irq[*] -unconstrained -group_count 32;\ + report_checks -to mprj/la_data_in[*] -unconstrained -group_count 128;\ + report_checks -to mprj/la_oenb[*] -unconstrained -group_count 128;\ + echo \" Flash output Interface \";\ + report_checks -to flash_clk -group_count 1;\ + report_checks -to flash_csb -group_count 1;\ + report_checks -to flash_io0 -group_count 1;\ + " > ./def/tmp/caravel_timing_typ.tcl + sta -exit ./def/tmp/caravel_timing_typ.tcl | tee ./signoff/caravel/caravel_timing_typ.log +caravel_timing_slow: ./def/caravel.def ./sdc/caravel.sdc ./verilog/gl/caravel.v check-mcw + mkdir -p ./def/tmp +## Run OpenSTA + echo "\ + read_liberty $(PDK_ROOT)/sky130A/libs.ref/$(STD_CELL_LIBRARY)/lib/$(STD_CELL_LIBRARY)__ss_100C_1v60.lib;\ + read_liberty $(PDK_ROOT)/sky130A/libs.ref/sky130_sram_macros/lib/sky130_sram_2kbyte_1rw1r_32x512_8_TT_1p8V_25C.lib;\ + read_liberty $(PDK_ROOT)/sky130A/libs.ref/$(SPECIAL_VOLTAGE_LIBRARY)/lib/$(SPECIAL_VOLTAGE_LIBRARY)__ss_100C_1v65.lib;\ + read_liberty $(PDK_ROOT)/sky130A/libs.ref/$(SPECIAL_VOLTAGE_LIBRARY)/lib/$(SPECIAL_VOLTAGE_LIBRARY)__ss_100C_1v65_lv1v40.lib;\ + read_liberty $(PDK_ROOT)/sky130A/libs.ref/$(IO_LIBRARY)/lib/sky130_fd_io__top_gpiov2_ss_ss_100C_1v40_1v65.lib;\ + read_liberty $(PDK_ROOT)/sky130A/libs.ref/$(IO_LIBRARY)/lib/sky130_fd_io__top_ground_hvc_wpad_ss_100C_1v60_3v00_3v00.lib;\ + read_liberty $(PDK_ROOT)/sky130A/libs.ref/$(IO_LIBRARY)/lib/sky130_fd_io__top_ground_lvc_wpad_ss_100C_1v40_1v65.lib;\ + read_liberty $(PDK_ROOT)/sky130A/libs.ref/$(IO_LIBRARY)/lib/sky130_fd_io__top_power_lvc_wpad_ss_100C_1v60_3v00_3v00.lib;\ + read_liberty $(PDK_ROOT)/sky130A/libs.ref/$(IO_LIBRARY)/lib/sky130_fd_io__top_xres4v2_ss_ss_100C_1v40_1v65.lib;\ + read_liberty $(PDK_ROOT)/sky130A/libs.ref/$(IO_LIBRARY)/lib/sky130_ef_io__gpiov2_pad_wrapped_ss_ss_100C_1v60_3v00.lib;\ + read_liberty $(PDK_ROOT)/sky130A/libs.ref/$(IO_LIBRARY)/lib/sky130_ef_io__vccd_lvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib;\ + read_liberty $(PDK_ROOT)/sky130A/libs.ref/$(IO_LIBRARY)/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib;\ + read_liberty $(PDK_ROOT)/sky130A/libs.ref/$(IO_LIBRARY)/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib;\ + read_liberty $(PDK_ROOT)/sky130A/libs.ref/$(IO_LIBRARY)/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ss_100C_1v40_1v65.lib;\ + read_liberty $(PDK_ROOT)/sky130A/libs.ref/$(IO_LIBRARY)/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ss_100C_1v60_3v00_3v00.lib;\ + read_liberty $(PDK_ROOT)/sky130A/libs.ref/$(IO_LIBRARY)/lib/sky130_ef_io__vssd_lvc_clamped_pad_ss_100C_1v60_3v00.lib;\ + read_verilog $(MCW_ROOT)/verilog/gl/mgmt_core.v;\ + read_verilog $(MCW_ROOT)/verilog/gl/DFFRAM.v;\ + read_verilog $(MCW_ROOT)/verilog/gl/mgmt_core_wrapper.v;\ + read_verilog ./verilog/gl/caravel_clocking.v;\ + read_verilog ./verilog/gl/digital_pll.v;\ + read_verilog ./verilog/gl/housekeeping.v;\ + read_verilog ./verilog/gl/gpio_logic_high.v;\ + read_verilog ./verilog/gl/gpio_control_block.v;\ + read_verilog ./verilog/gl/gpio_defaults_block.v;\ + read_verilog ./verilog/gl/gpio_defaults_block_0403.v;\ + read_verilog ./verilog/gl/gpio_defaults_block_1803.v;\ + read_verilog ./verilog/gl/mgmt_protect_hv.v;\ + read_verilog ./verilog/gl/mprj_logic_high.v;\ + read_verilog ./verilog/gl/mprj2_logic_high.v;\ + read_verilog ./verilog/gl/mgmt_protect.v;\ + read_verilog ./verilog/gl/user_id_programming.v;\ + read_verilog ./verilog/gl/xres_buf.v;\ + read_verilog ./verilog/gl/spare_logic_block.v;\ + read_verilog ./verilog/gl/chip_io.v;\ + read_verilog ./verilog/gl/caravel.v;\ + link_design caravel;\ + read_spef -path soc/DFFRAM_0 $(MCW_ROOT)/spef/DFFRAM.spef;\ + read_spef -path soc/core $(MCW_ROOT)/spef/mgmt_core.spef;\ + read_spef -path soc $(MCW_ROOT)/spef/mgmt_core_wrapper.spef;\ + read_spef -path padframe ./spef/chip_io.spef;\ + read_spef -path rstb_level ./spef/xres_buf.spef;\ + read_spef -path pll ./spef/digital_pll.spef;\ + read_spef -path housekeeping ./spef/housekeeping.spef;\ + read_spef -path mgmt_buffers/powergood_check ./spef/mgmt_protect_hv.spef;\ + read_spef -path mgmt_buffers/mprj_logic_high_inst ./spef/mprj_logic_high.spef;\ + read_spef -path mgmt_buffers/mprj2_logic_high_inst ./spef/mprj2_logic_high.spef;\ + read_spef -path mgmt_buffers ./spef/mgmt_protect.spef;\ + read_spef -path \gpio_control_bidir_1[0] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_bidir_1[1] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_bidir_2[1] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_bidir_2[2] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_1[0] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_1[10] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_1[1] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_1[2] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_1[3] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_1[4] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_1[5] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_1[6] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_1[7] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_1[8] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_1[9] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_1a[0] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_1a[1] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_1a[2] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_1a[3] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_1a[4] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_1a[5] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_2[0] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_2[10] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_2[11] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_2[12] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_2[13] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_2[14] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_2[15] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_2[1] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_2[2] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_2[3] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_2[4] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_2[5] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_2[6] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_2[7] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_2[8] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_2[9] ./spef/gpio_control_block.spef;\ + read_spef -path gpio_defaults_block_0 ./spef/gpio_defaults_block_1803.spef;\ + read_spef -path gpio_defaults_block_1 ./spef/gpio_defaults_block_1803.spef;\ + read_spef -path gpio_defaults_block_2 ./spef/gpio_defaults_block_0403.spef;\ + read_spef -path gpio_defaults_block_3 ./spef/gpio_defaults_block_0403.spef;\ + read_spef -path gpio_defaults_block_4 ./spef/gpio_defaults_block_0403.spef;\ + read_spef -path gpio_defaults_block_5 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_6 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_7 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_8 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_9 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_10 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_11 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_12 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_13 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_14 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_15 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_16 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_17 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_18 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_19 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_20 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_21 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_22 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_23 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_24 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_25 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_26 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_27 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_28 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_29 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_30 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_31 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_32 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_33 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_34 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_35 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_36 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_37 ./spef/gpio_defaults_block.spef;\ + read_spef ./spef/caravel.spef;\ + read_sdc -echo ./sdc/caravel.sdc;\ + report_checks -path_delay min -fields {slew cap input nets fanout} -format full_clock_expanded -group_count 50;\ + report_checks -path_delay max -fields {slew cap input nets fanout} -format full_clock_expanded -group_count 50;\ + report_worst_slack -max ;\ + report_worst_slack -min ;\ + echo \" Management Area Interface \";\ + report_checks -to soc/core_clk -unconstrained -group_count 1;\ + echo \" User project Interface \";\ + report_checks -to mprj/wb_clk_i -unconstrained -group_count 1;\ + report_checks -to mprj/wb_rst_i -unconstrained -group_count 1;\ + report_checks -to mprj/wbs_cyc_i -unconstrained -group_count 1;\ + report_checks -to mprj/wbs_stb_i -unconstrained -group_count 1;\ + report_checks -to mprj/wbs_we_i -unconstrained -group_count 1;\ + report_checks -to mprj/wbs_sel_i[*] -unconstrained -group_count 4;\ + report_checks -to mprj/wbs_adr_i[*] -unconstrained -group_count 32;\ + report_checks -to mprj/io_in[*] -unconstrained -group_count 32;\ + report_checks -to mprj/user_clock2 -unconstrained -group_count 32;\ + report_checks -to mprj/user_irq[*] -unconstrained -group_count 32;\ + report_checks -to mprj/la_data_in[*] -unconstrained -group_count 128;\ + report_checks -to mprj/la_oenb[*] -unconstrained -group_count 128;\ + " > ./def/tmp/caravel_timing_slow.tcl + sta -exit ./def/tmp/caravel_timing_slow.tcl | tee ./signoff/caravel/caravel_timing_slow.log + + +caravel_timing_fast: ./def/caravel.def ./sdc/caravel.sdc ./verilog/gl/caravel.v check-mcw + mkdir -p ./def/tmp +## Run OpenSTA + echo "\ + read_liberty $(PDK_ROOT)/sky130A/libs.ref/$(STD_CELL_LIBRARY)/lib/$(STD_CELL_LIBRARY)__ff_n40C_1v95.lib;\ + read_liberty $(PDK_ROOT)/sky130A/libs.ref/sky130_sram_macros/lib/sky130_sram_2kbyte_1rw1r_32x512_8_TT_1p8V_25C.lib;\ + read_liberty $(PDK_ROOT)/sky130A/libs.ref/$(SPECIAL_VOLTAGE_LIBRARY)/lib/$(SPECIAL_VOLTAGE_LIBRARY)__ff_n40C_5v50.lib;\ + read_liberty $(PDK_ROOT)/sky130A/libs.ref/$(SPECIAL_VOLTAGE_LIBRARY)/lib/$(SPECIAL_VOLTAGE_LIBRARY)__ff_n40C_4v40_lv1v95.lib;\ + read_liberty $(PDK_ROOT)/sky130A/libs.ref/$(IO_LIBRARY)/lib/sky130_fd_io__top_gpiov2_ff_ff_n40C_1v95_5v50.lib;\ + read_liberty $(PDK_ROOT)/sky130A/libs.ref/$(IO_LIBRARY)/lib/sky130_fd_io__top_ground_hvc_wpad_ff_n40C_1v95_5v50_5v50.lib;\ + read_liberty $(PDK_ROOT)/sky130A/libs.ref/$(IO_LIBRARY)/lib/sky130_fd_io__top_ground_lvc_wpad_ff_n40C_1v95_5v50.lib;\ + read_liberty $(PDK_ROOT)/sky130A/libs.ref/$(IO_LIBRARY)/lib/sky130_fd_io__top_ground_lvc_wpad_ff_n40C_1v95_5v50.lib;\ + read_liberty $(PDK_ROOT)/sky130A/libs.ref/$(IO_LIBRARY)/lib/sky130_fd_io__top_power_lvc_wpad_ff_n40C_1v95_5v50_5v50.lib;\ + read_liberty $(PDK_ROOT)/sky130A/libs.ref/$(IO_LIBRARY)/lib/sky130_fd_io__top_xres4v2_ff_ff_n40C_1v95_5v50.lib;\ + read_liberty $(PDK_ROOT)/sky130A/libs.ref/$(IO_LIBRARY)/lib/sky130_ef_io__gpiov2_pad_wrapped_ff_ff_n40C_1v95_5v50.lib;\ + read_liberty $(PDK_ROOT)/sky130A/libs.ref/$(IO_LIBRARY)/lib/sky130_ef_io__vccd_lvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib;\ + read_liberty $(PDK_ROOT)/sky130A/libs.ref/$(IO_LIBRARY)/lib/sky130_ef_io__vdda_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib;\ + read_liberty $(PDK_ROOT)/sky130A/libs.ref/$(IO_LIBRARY)/lib/sky130_ef_io__vssa_hvc_clamped_pad_ff_n40C_1v95_5v50_5v50.lib;\ + read_liberty $(PDK_ROOT)/sky130A/libs.ref/$(IO_LIBRARY)/lib/sky130_ef_io__vssd_lvc_clamped3_pad_ff_n40C_1v95_5v50.lib;\ + read_liberty $(PDK_ROOT)/sky130A/libs.ref/$(IO_LIBRARY)/lib/sky130_ef_io__vccd_lvc_clamped3_pad_ff_n40C_1v95_5v50_5v50.lib;\ + read_liberty $(PDK_ROOT)/sky130A/libs.ref/$(IO_LIBRARY)/lib/sky130_ef_io__vssd_lvc_clamped_pad_ff_n40C_1v95_5v50.lib;\ + read_verilog $(MCW_ROOT)/verilog/gl/mgmt_core.v;\ + read_verilog $(MCW_ROOT)/verilog/gl/DFFRAM.v;\ + read_verilog $(MCW_ROOT)/verilog/gl/mgmt_core_wrapper.v;\ + read_verilog ./verilog/gl/caravel_clocking.v;\ + read_verilog ./verilog/gl/digital_pll.v;\ + read_verilog ./verilog/gl/housekeeping.v;\ + read_verilog ./verilog/gl/gpio_logic_high.v;\ + read_verilog ./verilog/gl/gpio_control_block.v;\ + read_verilog ./verilog/gl/gpio_defaults_block.v;\ + read_verilog ./verilog/gl/gpio_defaults_block_0403.v;\ + read_verilog ./verilog/gl/gpio_defaults_block_1803.v;\ + read_verilog ./verilog/gl/mgmt_protect_hv.v;\ + read_verilog ./verilog/gl/mprj_logic_high.v;\ + read_verilog ./verilog/gl/mprj2_logic_high.v;\ + read_verilog ./verilog/gl/mgmt_protect.v;\ + read_verilog ./verilog/gl/user_id_programming.v;\ + read_verilog ./verilog/gl/xres_buf.v;\ + read_verilog ./verilog/gl/spare_logic_block.v;\ + read_verilog ./verilog/gl/chip_io.v;\ + read_verilog ./verilog/gl/caravel.v;\ + link_design caravel;\ + read_spef -path soc/DFFRAM_0 $(MCW_ROOT)/spef/DFFRAM.spef;\ + read_spef -path soc/core $(MCW_ROOT)/spef/mgmt_core.spef;\ + read_spef -path soc $(MCW_ROOT)/spef/mgmt_core_wrapper.spef;\ + read_spef -path padframe ./spef/chip_io.spef;\ + read_spef -path rstb_level ./spef/xres_buf.spef;\ + read_spef -path pll ./spef/digital_pll.spef;\ + read_spef -path housekeeping ./spef/housekeeping.spef;\ + read_spef -path mgmt_buffers/powergood_check ./spef/mgmt_protect_hv.spef;\ + read_spef -path mgmt_buffers/mprj_logic_high_inst ./spef/mprj_logic_high.spef;\ + read_spef -path mgmt_buffers/mprj2_logic_high_inst ./spef/mprj2_logic_high.spef;\ + read_spef -path mgmt_buffers ./spef/mgmt_protect.spef;\ + read_spef -path \gpio_control_bidir_1[0] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_bidir_1[1] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_bidir_2[1] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_bidir_2[2] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_1[0] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_1[10] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_1[1] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_1[2] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_1[3] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_1[4] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_1[5] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_1[6] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_1[7] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_1[8] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_1[9] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_1a[0] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_1a[1] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_1a[2] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_1a[3] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_1a[4] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_1a[5] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_2[0] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_2[10] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_2[11] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_2[12] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_2[13] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_2[14] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_2[15] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_2[1] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_2[2] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_2[3] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_2[4] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_2[5] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_2[6] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_2[7] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_2[8] ./spef/gpio_control_block.spef;\ + read_spef -path \gpio_control_in_2[9] ./spef/gpio_control_block.spef;\ + read_spef -path gpio_defaults_block_0 ./spef/gpio_defaults_block_1803.spef;\ + read_spef -path gpio_defaults_block_1 ./spef/gpio_defaults_block_1803.spef;\ + read_spef -path gpio_defaults_block_2 ./spef/gpio_defaults_block_0403.spef;\ + read_spef -path gpio_defaults_block_3 ./spef/gpio_defaults_block_0403.spef;\ + read_spef -path gpio_defaults_block_4 ./spef/gpio_defaults_block_0403.spef;\ + read_spef -path gpio_defaults_block_5 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_6 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_7 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_8 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_9 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_10 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_11 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_12 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_13 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_14 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_15 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_16 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_17 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_18 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_19 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_20 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_21 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_22 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_23 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_24 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_25 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_26 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_27 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_28 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_29 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_30 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_31 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_32 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_33 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_34 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_35 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_36 ./spef/gpio_defaults_block.spef;\ + read_spef -path gpio_defaults_block_37 ./spef/gpio_defaults_block.spef;\ + read_spef ./spef/caravel.spef;\ + read_sdc -echo ./sdc/caravel.sdc;\ + report_checks -path_delay min -fields {slew cap input nets fanout} -format full_clock_expanded -group_count 50;\ + report_checks -path_delay max -fields {slew cap input nets fanout} -format full_clock_expanded -group_count 50;\ + report_worst_slack -max ;\ + report_worst_slack -min ;\ + echo \" Management Area Interface \";\ + report_checks -to soc/core_clk -unconstrained -group_count 1;\ + echo \" User project Interface \";\ + report_checks -to mprj/wb_clk_i -unconstrained -group_count 1;\ + report_checks -to mprj/wb_rst_i -unconstrained -group_count 1;\ + report_checks -to mprj/wbs_cyc_i -unconstrained -group_count 1;\ + report_checks -to mprj/wbs_stb_i -unconstrained -group_count 1;\ + report_checks -to mprj/wbs_we_i -unconstrained -group_count 1;\ + report_checks -to mprj/wbs_sel_i[*] -unconstrained -group_count 4;\ + report_checks -to mprj/wbs_adr_i[*] -unconstrained -group_count 32;\ + report_checks -to mprj/io_in[*] -unconstrained -group_count 32;\ + report_checks -to mprj/user_clock2 -unconstrained -group_count 32;\ + report_checks -to mprj/user_irq[*] -unconstrained -group_count 32;\ + report_checks -to mprj/la_data_in[*] -unconstrained -group_count 128;\ + report_checks -to mprj/la_oenb[*] -unconstrained -group_count 128;\ + " > ./def/tmp/caravel_timing_fast.tcl + sta -exit ./def/tmp/caravel_timing_fast.tcl | tee ./signoff/caravel/caravel_timing_fast.log ########################################################################### .PHONY: generate_fill diff --git a/signoff/caravel/caravel_timing.log b/signoff/caravel/caravel_timing.log deleted file mode 100644 index 67a5729d..00000000 --- a/signoff/caravel/caravel_timing.log +++ /dev/null @@ -1,23914 +0,0 @@ -OpenSTA 2.3.1 81ad79bb7b Copyright (c) 2019, Parallax Software, Inc. -License GPLv3: GNU GPL version 3 - -This is free software, and you are free to change and redistribute it -under certain conditions; type `show_copying' for details. -This program comes with ABSOLUTELY NO WARRANTY; for details type `show_warranty'. -Warning: /home/ma/ef/sky130A/libs.ref/sky130_fd_sc_hvl/lib/sky130_fd_sc_hvl__tt_025C_3v30_lv1v80.lib line 1, default_operating_condition tt_025C_3v30_lv1v80 not found. -Warning: ./verilog/gl/caravel.v line 49, module sky130_fd_sc_hvl__fill_2 not found. Creating black box for FILLER_0_28. -Warning: ./verilog/gl/caravel.v line 55, module sky130_fd_sc_hvl__fill_1 not found. Creating black box for FILLER_0_30. -Warning: ./verilog/gl/caravel.v line 356, module sky130_fd_sc_hd__fill_1 not found. Creating black box for FILLER_0_195. -Warning: ./verilog/gl/caravel.v line 360, module sky130_fd_sc_hd__fill_2 not found. Creating black box for FILLER_0_197. -Warning: ./verilog/gl/caravel.v line 1224, module sky130_ef_io__corner_pad not found. Creating black box for \mgmt_corner[0] . -Warning: ./verilog/gl/caravel.v line 1252, module sky130_ef_io__vccd_lvc_clamped_pad not found. Creating black box for mgmt_vccd_lvclamp_pad. -Warning: ./verilog/gl/caravel.v line 1267, module sky130_ef_io__vdda_hvc_clamped_pad not found. Creating black box for mgmt_vdda_hvclamp_pad. -Warning: ./verilog/gl/caravel.v line 1282, module sky130_ef_io__vddio_hvc_clamped_pad not found. Creating black box for \mgmt_vddio_hvclamp_pad[0] . -Warning: ./verilog/gl/caravel.v line 1312, module sky130_ef_io__vssa_hvc_clamped_pad not found. Creating black box for mgmt_vssa_hvclamp_pad. -Warning: ./verilog/gl/caravel.v line 1327, module sky130_ef_io__vssd_lvc_clamped_pad not found. Creating black box for mgmt_vssd_lvclamp_pad. -Warning: ./verilog/gl/caravel.v line 1342, module sky130_ef_io__vssio_hvc_clamped_pad not found. Creating black box for \mgmt_vssio_hvclamp_pad[0] . -Warning: ./verilog/gl/caravel.v line 1696, module sky130_fd_sc_hd__tapvpwrvgnd_1 not found. Creating black box for TAP_100. -Warning: ./verilog/gl/caravel.v line 2895, module sky130_ef_io__vccd_lvc_clamped3_pad not found. Creating black box for user1_vccd_lvclamp_pad. -Warning: ./verilog/gl/caravel.v line 2970, module sky130_ef_io__vssd_lvc_clamped3_pad not found. Creating black box for user1_vssd_lvclamp_pad. -Warning: ./verilog/gl/caravel.v line 4647, module user_project_wrapper not found. Creating black box for mprj. -Warning: ./verilog/gl/caravel.v line 4766, module simple_por not found. Creating black box for por. -Warning: /home/ma/ef/caravel_mgmt_soc_litex//verilog/gl/mgmt_core_wrapper.v line 284, instance core port debug_in not found. -Warning: /home/ma/ef/caravel_mgmt_soc_litex//verilog/gl/mgmt_core_wrapper.v line 284, instance core port debug_out not found. -_4046a44074550000_p_Instance -soc/DFFRAM -_b052a44074550000_p_Instance -soc/core -Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 172099, pin debug_rx not found. -Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 172103, pin debug_tx not found. -Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 172106, pin debug_rx not found. -Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 172116, pin debug_tx not found. -Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 172118, pin debug_tx not found. -Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 172119, pin debug_tx not found. -Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 172120, pin debug_tx not found. -Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 172122, pin debug_tx not found. -Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 187481, pin debug_tx not found. -Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 1208423, *167004 not connected to net soc/core/net155. -Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 1208428, *167004 not connected to net soc/core/net155. -Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 1222909, pin debug_tx not found. -Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 1278905, *167351 not connected to net soc/core/net3128. -Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 1278918, *167351 not connected to net soc/core/net3128. -Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 1279145, *167457 not connected to net soc/core/net3131. -Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 1279154, *167457 not connected to net soc/core/net3131. -Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 1280042, *167291 not connected to net soc/core/net3162. -Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 1280048, *167291 not connected to net soc/core/net3162. -Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 1280071, *167493 not connected to net soc/core/net3164. -Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 1280076, *167493 not connected to net soc/core/net3164. -Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 1286768, *167721 not connected to net soc/core/net3368. -Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 1286789, *167721 not connected to net soc/core/net3368. -Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 1351269, *167720 not connected to net soc/core/net4990. -Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 1351275, *167720 not connected to net soc/core/net4990. -Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 1358956, *167491 not connected to net soc/core/net5249. -Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 1358966, *167491 not connected to net soc/core/net5249. -Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 1360485, *167454 not connected to net soc/core/net5303. -Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core.spef line 1360500, *167454 not connected to net soc/core/net5303. -_e03c9f4074550000_p_Instance -soc -Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core_wrapper.spef line 1661, pin core not found. -Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core_wrapper.spef line 1665, pin core not found. -Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core_wrapper.spef line 1666, pin core not found. -Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core_wrapper.spef line 1667, pin core not found. -Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core_wrapper.spef line 1668, pin core not found. -Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core_wrapper.spef line 1669, pin core not found. -Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core_wrapper.spef line 1671, pin core not found. -Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core_wrapper.spef line 1704, pin core not found. -Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core_wrapper.spef line 1712, pin core not found. -Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core_wrapper.spef line 1716, pin core not found. -Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core_wrapper.spef line 1721, pin core not found. -Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core_wrapper.spef line 1723, pin core not found. -Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core_wrapper.spef line 2900, *820 not connected to net soc/hk_stb_o. -Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core_wrapper.spef line 2907, *820 not connected to net soc/hk_stb_o. -Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core_wrapper.spef line 24791, pin core not found. -Warning: /home/ma/ef/caravel_mgmt_soc_litex/spef/mgmt_core_wrapper.spef line 24808, pin core not found. -_c0259f4074550000_p_Instance -rstb_level -_307c984074550000_p_Instance -pll -_00bdb83f74550000_p_Instance -housekeeping -Warning: ./spef/housekeeping.spef line 29129, pwr_ctrl_out[0] not connected to net housekeeping/pwr_ctrl_out[0]. -Warning: ./spef/housekeeping.spef line 29136, pwr_ctrl_out[0] not connected to net housekeeping/pwr_ctrl_out[0]. -Warning: ./spef/housekeeping.spef line 29144, pwr_ctrl_out[1] not connected to net housekeeping/pwr_ctrl_out[1]. -Warning: ./spef/housekeeping.spef line 29151, pwr_ctrl_out[1] not connected to net housekeeping/pwr_ctrl_out[1]. -Warning: ./spef/housekeeping.spef line 29159, pwr_ctrl_out[2] not connected to net housekeeping/pwr_ctrl_out[2]. -Warning: ./spef/housekeeping.spef line 29167, pwr_ctrl_out[2] not connected to net housekeeping/pwr_ctrl_out[2]. -Warning: ./spef/housekeeping.spef line 29175, pwr_ctrl_out[3] not connected to net housekeeping/pwr_ctrl_out[3]. -Warning: ./spef/housekeeping.spef line 29181, pwr_ctrl_out[3] not connected to net housekeeping/pwr_ctrl_out[3]. -_504d924074550000_p_Instance -mgmt_buffers/powergood_check -_40788a4074550000_p_Instance -mgmt_buffers/mprj_logic_high_inst -_90d1894074550000_p_Instance -mgmt_buffers/mprj2_logic_high_inst -_803f034074550000_p_Instance -mgmt_buffers -_405d5a3f74550000_p_Instance -gpio_control_bidir_1[0] -Warning: ./spef/gpio_control_block.spef line 1277, one not connected to net gpio_control_bidir_1\[0\]/one. -Warning: ./spef/gpio_control_block.spef line 1314, one not connected to net gpio_control_bidir_1\[0\]/one. -Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_bidir_1\[0\]/zero. -Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_bidir_1\[0\]/zero. -_10ad5a3f74550000_p_Instance -gpio_control_bidir_1[1] -Warning: ./spef/gpio_control_block.spef line 1277, one not connected to net gpio_control_bidir_1\[1\]/one. -Warning: ./spef/gpio_control_block.spef line 1314, one not connected to net gpio_control_bidir_1\[1\]/one. -Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_bidir_1\[1\]/zero. -Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_bidir_1\[1\]/zero. -_f0875f3f74550000_p_Instance -gpio_control_bidir_2[1] -Warning: ./spef/gpio_control_block.spef line 1277, one not connected to net gpio_control_bidir_2\[1\]/one. -Warning: ./spef/gpio_control_block.spef line 1314, one not connected to net gpio_control_bidir_2\[1\]/one. -Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_bidir_2\[1\]/zero. -Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_bidir_2\[1\]/zero. -_70fc603f74550000_p_Instance -gpio_control_bidir_2[2] -Warning: ./spef/gpio_control_block.spef line 1277, one not connected to net gpio_control_bidir_2\[2\]/one. -Warning: ./spef/gpio_control_block.spef line 1314, one not connected to net gpio_control_bidir_2\[2\]/one. -Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_bidir_2\[2\]/zero. -Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_bidir_2\[2\]/zero. -_2094633f74550000_p_Instance -gpio_control_in_1[0] -Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_1\[0\]/zero. -Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_1\[0\]/zero. -_8052673f74550000_p_Instance -gpio_control_in_1[10] -Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_1\[10\]/zero. -Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_1\[10\]/zero. -_80ec693f74550000_p_Instance -gpio_control_in_1[1] -Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_1\[1\]/zero. -Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_1\[1\]/zero. -_40606b3f74550000_p_Instance -gpio_control_in_1[2] -Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_1\[2\]/zero. -Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_1\[2\]/zero. -_00f76d3f74550000_p_Instance -gpio_control_in_1[3] -Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_1\[3\]/zero. -Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_1\[3\]/zero. -_f08d703f74550000_p_Instance -gpio_control_in_1[4] -Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_1\[4\]/zero. -Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_1\[4\]/zero. -_e024733f74550000_p_Instance -gpio_control_in_1[5] -Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_1\[5\]/zero. -Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_1\[5\]/zero. -_d0bb753f74550000_p_Instance -gpio_control_in_1[6] -Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_1\[6\]/zero. -Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_1\[6\]/zero. -_c052783f74550000_p_Instance -gpio_control_in_1[7] -Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_1\[7\]/zero. -Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_1\[7\]/zero. -_b0e97a3f74550000_p_Instance -gpio_control_in_1[8] -Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_1\[8\]/zero. -Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_1\[8\]/zero. -_a0807d3f74550000_p_Instance -gpio_control_in_1[9] -Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_1\[9\]/zero. -Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_1\[9\]/zero. -_90c87f3f74550000_p_Instance -gpio_control_in_1a[0] -Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_1a\[0\]/zero. -Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_1a\[0\]/zero. -_30ab823f74550000_p_Instance -gpio_control_in_1a[1] -Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_1a\[1\]/zero. -Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_1a\[1\]/zero. -_f041853f74550000_p_Instance -gpio_control_in_1a[2] -Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_1a\[2\]/zero. -Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_1a\[2\]/zero. -_b0d8873f74550000_p_Instance -gpio_control_in_1a[3] -Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_1a\[3\]/zero. -Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_1a\[3\]/zero. -_706f8a3f74550000_p_Instance -gpio_control_in_1a[4] -Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_1a\[4\]/zero. -Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_1a\[4\]/zero. -_30068d3f74550000_p_Instance -gpio_control_in_1a[5] -Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_1a\[5\]/zero. -Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_1a\[5\]/zero. -_f04d8f3f74550000_p_Instance -gpio_control_in_2[0] -Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_2\[0\]/zero. -Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_2\[0\]/zero. -_f056933f74550000_p_Instance -gpio_control_in_2[10] -Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_2\[10\]/zero. -Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_2\[10\]/zero. -_10f1953f74550000_p_Instance -gpio_control_in_2[11] -Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_2\[11\]/zero. -Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_2\[11\]/zero. -_d064973f74550000_p_Instance -gpio_control_in_2[12] -Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_2\[12\]/zero. -Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_2\[12\]/zero. -_c0fb993f74550000_p_Instance -gpio_control_in_2[13] -Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_2\[13\]/zero. -Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_2\[13\]/zero. -_b0929c3f74550000_p_Instance -gpio_control_in_2[14] -Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_2\[14\]/zero. -Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_2\[14\]/zero. -_a0299f3f74550000_p_Instance -gpio_control_in_2[15] -Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_2\[15\]/zero. -Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_2\[15\]/zero. -_9071a13f74550000_p_Instance -gpio_control_in_2[1] -Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_2\[1\]/zero. -Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_2\[1\]/zero. -_3054a43f74550000_p_Instance -gpio_control_in_2[2] -Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_2\[2\]/zero. -Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_2\[2\]/zero. -_10eba63f74550000_p_Instance -gpio_control_in_2[3] -Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_2\[3\]/zero. -Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_2\[3\]/zero. -_f081a93f74550000_p_Instance -gpio_control_in_2[4] -Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_2\[4\]/zero. -Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_2\[4\]/zero. -_d018ac3f74550000_p_Instance -gpio_control_in_2[5] -Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_2\[5\]/zero. -Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_2\[5\]/zero. -_b0afae3f74550000_p_Instance -gpio_control_in_2[6] -Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_2\[6\]/zero. -Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_2\[6\]/zero. -_a071b23f74550000_p_Instance -gpio_control_in_2[7] -Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_2\[7\]/zero. -Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_2\[7\]/zero. -_40deb33f74550000_p_Instance -gpio_control_in_2[8] -Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_2\[8\]/zero. -Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_2\[8\]/zero. -_2075b63f74550000_p_Instance -gpio_control_in_2[9] -Warning: ./spef/gpio_control_block.spef line 2123, zero not connected to net gpio_control_in_2\[9\]/zero. -Warning: ./spef/gpio_control_block.spef line 2129, zero not connected to net gpio_control_in_2\[9\]/zero. -set ::env(IO_PCT) "0.2" -DFFRAMcoresocrstb_levelpllhousekeepingpowergood_checkmprj_logic_high_instmprj2_logic_high_instmgmt_buffersgpio_control_bidir_1[0]gpio_control_bidir_1[1]gpio_control_bidir_2[1]gpio_control_bidir_2[2]gpio_control_in_1[0]gpio_control_in_1[10]gpio_control_in_1[1]gpio_control_in_1[2]gpio_control_in_1[3]gpio_control_in_1[4]gpio_control_in_1[5]gpio_control_in_1[6]gpio_control_in_1[7]gpio_control_in_1[8]gpio_control_in_1[9]gpio_control_in_1a[0]gpio_control_in_1a[1]gpio_control_in_1a[2]gpio_control_in_1a[3]gpio_control_in_1a[4]gpio_control_in_1a[5]gpio_control_in_2[0]gpio_control_in_2[10]gpio_control_in_2[11]gpio_control_in_2[12]gpio_control_in_2[13]gpio_control_in_2[14]gpio_control_in_2[15]gpio_control_in_2[1]gpio_control_in_2[2]gpio_control_in_2[3]gpio_control_in_2[4]gpio_control_in_2[5]gpio_control_in_2[6]gpio_control_in_2[7]gpio_control_in_2[8]gpio_control_in_2[9]set ::env(SYNTH_DRIVING_CELL) "sky130_fd_sc_hd__inv_1" -set ::env(SYNTH_DRIVING_CELL_PIN) "Y" -set ::env(SYNTH_MAX_FANOUT) "5" -set ::env(SYNTH_CAP_LOAD) "33.442" -set ::env(SYNTH_TIMING_DERATE) 0.05 -set ::env(SYNTH_CLOCK_UNCERTAINITY) 0.25 -set ::env(SYNTH_CLOCK_TRANSITION) 0.15 -## MASTER CLOCKS -create_clock [get_ports {"clock"} ] -name "clock" -period 25 -set_propagated_clock [get_clocks {"clock"}] -## INPUT/OUTPUT DELAYS -set input_delay_value 1 -set output_delay_value [expr 25 * $::env(IO_PCT)] -puts "\[INFO\]: Setting output delay to: $output_delay_value" -[INFO]: Setting output delay to: 5.0 -puts "\[INFO\]: Setting input delay to: $input_delay_value" -[INFO]: Setting input delay to: 1 -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {gpio}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[0]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[1]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[2]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[3]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[4]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[5]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[6]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[7]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[8]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[9]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[10]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[11]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[12]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[13]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[14]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[15]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[16]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[17]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[18]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[19]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[20]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[21]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[22]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[23]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[24]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[25]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[26]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[27]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[28]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[29]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[30]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[31]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[32]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[33]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[34]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[35]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[36]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[37]}] -set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_csb}] -set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_clk}] -set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_io0}] -set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_io1}] -set_max_fanout $::env(SYNTH_MAX_FANOUT) [current_design] -## Set system monitoring mux select to zero so that the clock/user_clk monitoring is disabled -set_case_analysis 0 [get_pins housekeeping/_4449_/S] -set_case_analysis 0 [get_pins housekeeping/_4450_/S] -## FALSE PATHS (ASYNCHRONOUS INPUTS) -set_false_path -from [get_ports {resetb}] -set_false_path -from [get_ports mprj_io[*]] -set_false_path -from [get_ports gpio] -# TODO set this as parameter -set_driving_cell -lib_cell $::env(SYNTH_DRIVING_CELL) -pin $::env(SYNTH_DRIVING_CELL_PIN) [all_inputs] -set cap_load [expr $::env(SYNTH_CAP_LOAD) / 1000.0] -puts "\[INFO\]: Setting load to: $cap_load" -[INFO]: Setting load to: 0.033442 -set_load $cap_load [all_outputs] -puts "\[INFO\]: Setting timing derate to: [expr {$::env(SYNTH_TIMING_DERATE) * 10}] %" -[INFO]: Setting timing derate to: 0.5 % -set_timing_derate -early [expr {1-$::env(SYNTH_TIMING_DERATE)}] -set_timing_derate -late [expr {1+$::env(SYNTH_TIMING_DERATE)}] -puts "\[INFO\]: Setting clock uncertainity to: $::env(SYNTH_CLOCK_UNCERTAINITY)" -[INFO]: Setting clock uncertainity to: 0.25 -set_clock_uncertainty $::env(SYNTH_CLOCK_UNCERTAINITY) [get_clocks {clock}] -puts "\[INFO\]: Setting clock transition to: $::env(SYNTH_CLOCK_TRANSITION)" -[INFO]: Setting clock transition to: 0.15 -set_clock_transition $::env(SYNTH_CLOCK_TRANSITION) [get_clocks {clock}] - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9640_ (removal check against rising-edge clock clock) -Path Group: **async_default** -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.38 0.13 9.38 ^ housekeeping/_9640_/RESET_B (sky130_fd_sc_hd__dfrtp_2) - 9.38 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.54 0.49 15.84 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) - 0.54 0.01 15.85 ^ housekeeping/_9640_/CLK (sky130_fd_sc_hd__dfrtp_2) - 0.25 16.10 clock uncertainty - -5.78 10.33 clock reconvergence pessimism - 0.54 10.87 library removal time - 10.87 data required time ------------------------------------------------------------------------------ - 10.87 data required time - -9.38 data arrival time ------------------------------------------------------------------------------ - -1.49 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9759_ (removal check against rising-edge clock clock) -Path Group: **async_default** -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.38 0.13 9.38 ^ housekeeping/_9759_/RESET_B (sky130_fd_sc_hd__dfrtp_4) - 9.38 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.54 0.49 15.84 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) - 0.54 0.01 15.85 ^ housekeeping/_9759_/CLK (sky130_fd_sc_hd__dfrtp_4) - 0.25 16.10 clock uncertainty - -5.78 10.33 clock reconvergence pessimism - 0.54 10.87 library removal time - 10.87 data required time ------------------------------------------------------------------------------ - 10.87 data required time - -9.38 data arrival time ------------------------------------------------------------------------------ - -1.49 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9587_ (removal check against rising-edge clock clock) -Path Group: **async_default** -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.39 0.14 9.38 ^ housekeeping/_9587_/RESET_B (sky130_fd_sc_hd__dfrtp_4) - 9.38 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.54 0.49 15.84 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) - 0.54 0.01 15.85 ^ housekeeping/_9587_/CLK (sky130_fd_sc_hd__dfrtp_4) - 0.25 16.10 clock uncertainty - -5.78 10.32 clock reconvergence pessimism - 0.54 10.87 library removal time - 10.87 data required time ------------------------------------------------------------------------------ - 10.87 data required time - -9.38 data arrival time ------------------------------------------------------------------------------ - -1.49 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9062_ (removal check against rising-edge clock clock) -Path Group: **async_default** -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.39 0.14 9.38 ^ housekeeping/_9062_/RESET_B (sky130_fd_sc_hd__dfrtp_1) - 9.38 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.54 0.49 15.84 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) - 0.54 0.00 15.85 ^ housekeeping/_9062_/CLK (sky130_fd_sc_hd__dfrtp_1) - 0.25 16.10 clock uncertainty - -5.78 10.32 clock reconvergence pessimism - 0.54 10.87 library removal time - 10.87 data required time ------------------------------------------------------------------------------ - 10.87 data required time - -9.38 data arrival time ------------------------------------------------------------------------------ - -1.48 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9119_ (removal check against rising-edge clock clock) -Path Group: **async_default** -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.39 0.14 9.38 ^ housekeeping/_9119_/RESET_B (sky130_fd_sc_hd__dfrtp_4) - 9.38 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.54 0.49 15.84 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) - 0.54 0.00 15.85 ^ housekeeping/_9119_/CLK (sky130_fd_sc_hd__dfrtp_4) - 0.25 16.10 clock uncertainty - -5.78 10.32 clock reconvergence pessimism - 0.54 10.86 library removal time - 10.86 data required time ------------------------------------------------------------------------------ - 10.86 data required time - -9.38 data arrival time ------------------------------------------------------------------------------ - -1.48 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9060_ (removal check against rising-edge clock clock) -Path Group: **async_default** -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.39 0.14 9.38 ^ housekeeping/_9060_/RESET_B (sky130_fd_sc_hd__dfrtp_1) - 9.38 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.54 0.49 15.84 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) - 0.54 0.00 15.85 ^ housekeeping/_9060_/CLK (sky130_fd_sc_hd__dfrtp_1) - 0.25 16.10 clock uncertainty - -5.78 10.32 clock reconvergence pessimism - 0.54 10.86 library removal time - 10.86 data required time ------------------------------------------------------------------------------ - 10.86 data required time - -9.38 data arrival time ------------------------------------------------------------------------------ - -1.48 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9061_ (removal check against rising-edge clock clock) -Path Group: **async_default** -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.39 0.14 9.38 ^ housekeeping/_9061_/RESET_B (sky130_fd_sc_hd__dfrtp_1) - 9.38 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.54 0.49 15.84 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) - 0.54 0.00 15.85 ^ housekeeping/_9061_/CLK (sky130_fd_sc_hd__dfrtp_1) - 0.25 16.10 clock uncertainty - -5.78 10.32 clock reconvergence pessimism - 0.54 10.86 library removal time - 10.86 data required time ------------------------------------------------------------------------------ - 10.86 data required time - -9.38 data arrival time ------------------------------------------------------------------------------ - -1.48 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9067_ (removal check against rising-edge clock clock) -Path Group: **async_default** -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.39 0.14 9.39 ^ housekeeping/_9067_/RESET_B (sky130_fd_sc_hd__dfrtp_4) - 9.39 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.54 0.49 15.84 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) - 0.54 0.00 15.85 ^ housekeeping/_9067_/CLK (sky130_fd_sc_hd__dfrtp_4) - 0.25 16.10 clock uncertainty - -5.78 10.32 clock reconvergence pessimism - 0.54 10.87 library removal time - 10.87 data required time ------------------------------------------------------------------------------ - 10.87 data required time - -9.39 data arrival time ------------------------------------------------------------------------------ - -1.48 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9064_ (removal check against rising-edge clock clock) -Path Group: **async_default** -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.39 0.14 9.39 ^ housekeeping/_9064_/RESET_B (sky130_fd_sc_hd__dfrtp_1) - 9.39 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.54 0.49 15.84 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) - 0.54 0.00 15.85 ^ housekeeping/_9064_/CLK (sky130_fd_sc_hd__dfrtp_1) - 0.25 16.10 clock uncertainty - -5.78 10.32 clock reconvergence pessimism - 0.54 10.87 library removal time - 10.87 data required time ------------------------------------------------------------------------------ - 10.87 data required time - -9.39 data arrival time ------------------------------------------------------------------------------ - -1.48 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9066_ (removal check against rising-edge clock clock) -Path Group: **async_default** -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.39 0.14 9.38 ^ housekeeping/_9066_/RESET_B (sky130_fd_sc_hd__dfrtp_4) - 9.38 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.54 0.49 15.84 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) - 0.54 0.00 15.85 ^ housekeeping/_9066_/CLK (sky130_fd_sc_hd__dfrtp_4) - 0.25 16.10 clock uncertainty - -5.78 10.32 clock reconvergence pessimism - 0.54 10.87 library removal time - 10.87 data required time ------------------------------------------------------------------------------ - 10.87 data required time - -9.38 data arrival time ------------------------------------------------------------------------------ - -1.48 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9063_ (removal check against rising-edge clock clock) -Path Group: **async_default** -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.39 0.14 9.38 ^ housekeeping/_9063_/RESET_B (sky130_fd_sc_hd__dfrtp_1) - 9.38 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.54 0.49 15.84 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) - 0.54 0.00 15.85 ^ housekeeping/_9063_/CLK (sky130_fd_sc_hd__dfrtp_1) - 0.25 16.10 clock uncertainty - -5.78 10.32 clock reconvergence pessimism - 0.54 10.87 library removal time - 10.87 data required time ------------------------------------------------------------------------------ - 10.87 data required time - -9.38 data arrival time ------------------------------------------------------------------------------ - -1.48 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9068_ (removal check against rising-edge clock clock) -Path Group: **async_default** -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.39 0.14 9.38 ^ housekeeping/_9068_/RESET_B (sky130_fd_sc_hd__dfrtp_4) - 9.38 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.54 0.49 15.84 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) - 0.54 0.00 15.85 ^ housekeeping/_9068_/CLK (sky130_fd_sc_hd__dfrtp_4) - 0.25 16.10 clock uncertainty - -5.78 10.32 clock reconvergence pessimism - 0.54 10.87 library removal time - 10.87 data required time ------------------------------------------------------------------------------ - 10.87 data required time - -9.38 data arrival time ------------------------------------------------------------------------------ - -1.48 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9666_ (removal check against rising-edge clock clock) -Path Group: **async_default** -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.40 0.14 9.39 ^ housekeeping/_9666_/RESET_B (sky130_fd_sc_hd__dfrtp_1) - 9.39 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.54 0.49 15.84 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) - 0.54 0.00 15.85 ^ housekeeping/_9666_/CLK (sky130_fd_sc_hd__dfrtp_1) - 0.25 16.10 clock uncertainty - -5.78 10.32 clock reconvergence pessimism - 0.54 10.87 library removal time - 10.87 data required time ------------------------------------------------------------------------------ - 10.87 data required time - -9.39 data arrival time ------------------------------------------------------------------------------ - -1.48 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9665_ (removal check against rising-edge clock clock) -Path Group: **async_default** -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.40 0.14 9.39 ^ housekeeping/_9665_/RESET_B (sky130_fd_sc_hd__dfrtp_1) - 9.39 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.54 0.49 15.84 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) - 0.54 0.01 15.85 ^ housekeeping/_9665_/CLK (sky130_fd_sc_hd__dfrtp_1) - 0.25 16.10 clock uncertainty - -5.78 10.32 clock reconvergence pessimism - 0.54 10.87 library removal time - 10.87 data required time ------------------------------------------------------------------------------ - 10.87 data required time - -9.39 data arrival time ------------------------------------------------------------------------------ - -1.48 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9659_ (removal check against rising-edge clock clock) -Path Group: **async_default** -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.40 0.14 9.39 ^ housekeeping/_9659_/RESET_B (sky130_fd_sc_hd__dfrtp_1) - 9.39 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.54 0.49 15.84 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) - 0.54 0.01 15.85 ^ housekeeping/_9659_/CLK (sky130_fd_sc_hd__dfrtp_1) - 0.25 16.10 clock uncertainty - -5.78 10.32 clock reconvergence pessimism - 0.54 10.87 library removal time - 10.87 data required time ------------------------------------------------------------------------------ - 10.87 data required time - -9.39 data arrival time ------------------------------------------------------------------------------ - -1.48 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9680_ (removal check against rising-edge clock clock) -Path Group: **async_default** -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.30 0.07 9.31 ^ housekeeping/_9680_/RESET_B (sky130_fd_sc_hd__dfrtp_1) - 9.31 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.12 14.94 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) - 0.05 0.00 14.94 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.20 0.23 15.16 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 housekeeping/clknet_1_0_1_wb_clk_i (net) - 0.20 0.00 15.17 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.24 15.41 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) - 0.15 0.00 15.41 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.38 0.39 15.80 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) - 0.38 0.01 15.81 ^ housekeeping/_9680_/CLK (sky130_fd_sc_hd__dfrtp_1) - 0.25 16.06 clock uncertainty - -5.78 10.28 clock reconvergence pessimism - 0.48 10.77 library removal time - 10.77 data required time ------------------------------------------------------------------------------ - 10.77 data required time - -9.31 data arrival time ------------------------------------------------------------------------------ - -1.45 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9676_ (removal check against rising-edge clock clock) -Path Group: **async_default** -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.30 0.07 9.31 ^ housekeeping/_9676_/RESET_B (sky130_fd_sc_hd__dfrtp_1) - 9.31 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.12 14.94 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) - 0.05 0.00 14.94 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.20 0.23 15.16 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 housekeeping/clknet_1_0_1_wb_clk_i (net) - 0.20 0.00 15.17 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.24 15.41 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) - 0.15 0.00 15.41 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.38 0.39 15.80 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) - 0.38 0.01 15.81 ^ housekeeping/_9676_/CLK (sky130_fd_sc_hd__dfrtp_1) - 0.25 16.06 clock uncertainty - -5.78 10.28 clock reconvergence pessimism - 0.48 10.77 library removal time - 10.77 data required time ------------------------------------------------------------------------------ - 10.77 data required time - -9.31 data arrival time ------------------------------------------------------------------------------ - -1.45 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9679_ (removal check against rising-edge clock clock) -Path Group: **async_default** -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.31 0.07 9.32 ^ housekeeping/_9679_/RESET_B (sky130_fd_sc_hd__dfrtp_1) - 9.32 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.12 14.94 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) - 0.05 0.00 14.94 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.20 0.23 15.16 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 housekeeping/clknet_1_0_1_wb_clk_i (net) - 0.20 0.00 15.17 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.24 15.41 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) - 0.15 0.00 15.41 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.38 0.39 15.80 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) - 0.38 0.01 15.81 ^ housekeeping/_9679_/CLK (sky130_fd_sc_hd__dfrtp_1) - 0.25 16.06 clock uncertainty - -5.78 10.28 clock reconvergence pessimism - 0.48 10.77 library removal time - 10.77 data required time ------------------------------------------------------------------------------ - 10.77 data required time - -9.32 data arrival time ------------------------------------------------------------------------------ - -1.45 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9681_ (removal check against rising-edge clock clock) -Path Group: **async_default** -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.31 0.07 9.32 ^ housekeeping/_9681_/RESET_B (sky130_fd_sc_hd__dfrtp_1) - 9.32 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.12 14.94 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) - 0.05 0.00 14.94 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.20 0.23 15.16 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 housekeeping/clknet_1_0_1_wb_clk_i (net) - 0.20 0.00 15.17 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.24 15.41 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) - 0.15 0.00 15.41 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.38 0.39 15.80 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) - 0.38 0.01 15.81 ^ housekeeping/_9681_/CLK (sky130_fd_sc_hd__dfrtp_1) - 0.25 16.06 clock uncertainty - -5.78 10.28 clock reconvergence pessimism - 0.48 10.77 library removal time - 10.77 data required time ------------------------------------------------------------------------------ - 10.77 data required time - -9.32 data arrival time ------------------------------------------------------------------------------ - -1.45 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9677_ (removal check against rising-edge clock clock) -Path Group: **async_default** -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.31 0.08 9.32 ^ housekeeping/_9677_/RESET_B (sky130_fd_sc_hd__dfrtp_1) - 9.32 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.12 14.94 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) - 0.05 0.00 14.94 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.20 0.23 15.16 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 housekeeping/clknet_1_0_1_wb_clk_i (net) - 0.20 0.00 15.17 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.24 15.41 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) - 0.15 0.00 15.41 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.38 0.39 15.80 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) - 0.38 0.01 15.81 ^ housekeeping/_9677_/CLK (sky130_fd_sc_hd__dfrtp_1) - 0.25 16.06 clock uncertainty - -5.78 10.28 clock reconvergence pessimism - 0.49 10.77 library removal time - 10.77 data required time ------------------------------------------------------------------------------ - 10.77 data required time - -9.32 data arrival time ------------------------------------------------------------------------------ - -1.45 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9675_ (removal check against rising-edge clock clock) -Path Group: **async_default** -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.32 0.08 9.32 ^ housekeeping/_9675_/RESET_B (sky130_fd_sc_hd__dfrtp_1) - 9.32 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.12 14.94 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) - 0.05 0.00 14.94 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.20 0.23 15.16 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 housekeeping/clknet_1_0_1_wb_clk_i (net) - 0.20 0.00 15.17 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.24 15.41 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) - 0.15 0.00 15.41 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.38 0.39 15.80 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) - 0.38 0.01 15.81 ^ housekeeping/_9675_/CLK (sky130_fd_sc_hd__dfrtp_1) - 0.25 16.06 clock uncertainty - -5.78 10.28 clock reconvergence pessimism - 0.49 10.77 library removal time - 10.77 data required time ------------------------------------------------------------------------------ - 10.77 data required time - -9.32 data arrival time ------------------------------------------------------------------------------ - -1.45 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9678_ (removal check against rising-edge clock clock) -Path Group: **async_default** -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.32 0.08 9.33 ^ housekeeping/_9678_/RESET_B (sky130_fd_sc_hd__dfrtp_1) - 9.33 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.12 14.94 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) - 0.05 0.00 14.94 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.20 0.23 15.16 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 housekeeping/clknet_1_0_1_wb_clk_i (net) - 0.20 0.00 15.17 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.24 15.41 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) - 0.15 0.00 15.41 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.38 0.39 15.80 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) - 0.38 0.01 15.81 ^ housekeeping/_9678_/CLK (sky130_fd_sc_hd__dfrtp_1) - 0.25 16.06 clock uncertainty - -5.78 10.28 clock reconvergence pessimism - 0.49 10.77 library removal time - 10.77 data required time ------------------------------------------------------------------------------ - 10.77 data required time - -9.33 data arrival time ------------------------------------------------------------------------------ - -1.44 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9663_ (removal check against rising-edge clock clock) -Path Group: **async_default** -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.40 0.14 9.39 ^ housekeeping/_9663_/RESET_B (sky130_fd_sc_hd__dfrtp_1) - 9.39 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.43 0.41 15.76 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) - 0.43 0.01 15.78 ^ housekeeping/_9663_/CLK (sky130_fd_sc_hd__dfrtp_1) - 0.25 16.03 clock uncertainty - -5.78 10.25 clock reconvergence pessimism - 0.52 10.77 library removal time - 10.77 data required time ------------------------------------------------------------------------------ - 10.77 data required time - -9.39 data arrival time ------------------------------------------------------------------------------ - -1.38 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9664_ (removal check against rising-edge clock clock) -Path Group: **async_default** -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.40 0.14 9.39 ^ housekeeping/_9664_/RESET_B (sky130_fd_sc_hd__dfrtp_1) - 9.39 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.43 0.41 15.76 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) - 0.43 0.01 15.78 ^ housekeeping/_9664_/CLK (sky130_fd_sc_hd__dfrtp_1) - 0.25 16.03 clock uncertainty - -5.78 10.25 clock reconvergence pessimism - 0.52 10.77 library removal time - 10.77 data required time ------------------------------------------------------------------------------ - 10.77 data required time - -9.39 data arrival time ------------------------------------------------------------------------------ - -1.38 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9660_ (removal check against rising-edge clock clock) -Path Group: **async_default** -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.40 0.15 9.39 ^ housekeeping/_9660_/RESET_B (sky130_fd_sc_hd__dfrtp_1) - 9.39 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.43 0.41 15.76 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) - 0.43 0.01 15.78 ^ housekeeping/_9660_/CLK (sky130_fd_sc_hd__dfrtp_1) - 0.25 16.03 clock uncertainty - -5.78 10.25 clock reconvergence pessimism - 0.52 10.77 library removal time - 10.77 data required time ------------------------------------------------------------------------------ - 10.77 data required time - -9.39 data arrival time ------------------------------------------------------------------------------ - -1.38 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9661_ (removal check against rising-edge clock clock) -Path Group: **async_default** -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.40 0.14 9.39 ^ housekeeping/_9661_/RESET_B (sky130_fd_sc_hd__dfrtp_1) - 9.39 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.43 0.41 15.76 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) - 0.43 0.01 15.78 ^ housekeeping/_9661_/CLK (sky130_fd_sc_hd__dfrtp_1) - 0.25 16.03 clock uncertainty - -5.78 10.25 clock reconvergence pessimism - 0.52 10.77 library removal time - 10.77 data required time ------------------------------------------------------------------------------ - 10.77 data required time - -9.39 data arrival time ------------------------------------------------------------------------------ - -1.38 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9662_ (removal check against rising-edge clock clock) -Path Group: **async_default** -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.40 0.14 9.39 ^ housekeeping/_9662_/RESET_B (sky130_fd_sc_hd__dfrtp_1) - 9.39 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.43 0.41 15.76 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) - 0.43 0.01 15.78 ^ housekeeping/_9662_/CLK (sky130_fd_sc_hd__dfrtp_1) - 0.25 16.03 clock uncertainty - -5.78 10.25 clock reconvergence pessimism - 0.52 10.77 library removal time - 10.77 data required time ------------------------------------------------------------------------------ - 10.77 data required time - -9.39 data arrival time ------------------------------------------------------------------------------ - -1.38 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9065_ (removal check against rising-edge clock clock) -Path Group: **async_default** -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.39 0.14 9.38 ^ housekeeping/_9065_/RESET_B (sky130_fd_sc_hd__dfrtp_1) - 9.38 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.43 0.41 15.76 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) - 0.43 0.00 15.77 ^ housekeeping/_9065_/CLK (sky130_fd_sc_hd__dfrtp_1) - 0.25 16.02 clock uncertainty - -5.78 10.24 clock reconvergence pessimism - 0.52 10.76 library removal time - 10.76 data required time ------------------------------------------------------------------------------ - 10.76 data required time - -9.38 data arrival time ------------------------------------------------------------------------------ - -1.38 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9059_ (removal check against rising-edge clock clock) -Path Group: **async_default** -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 9.05 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.19 9.24 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.39 0.14 9.38 ^ housekeeping/_9059_/SET_B (sky130_fd_sc_hd__dfstp_1) - 9.38 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.54 0.49 15.84 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) - 0.54 0.00 15.85 ^ housekeeping/_9059_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.25 16.10 clock uncertainty - -5.78 10.32 clock reconvergence pessimism - 0.25 10.57 library removal time - 10.57 data required time ------------------------------------------------------------------------------ - 10.57 data required time - -9.38 data arrival time ------------------------------------------------------------------------------ - -1.19 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31369_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.34 8.83 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 8.84 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.06 8.90 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 8.91 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.14 9.04 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.00 9.05 ^ soc/core/input1/A (sky130_fd_sc_hd__buf_4) - 0.18 0.20 9.25 ^ soc/core/input1/X (sky130_fd_sc_hd__buf_4) - 2 0.06 soc/core/net1 (net) - 0.18 0.02 9.27 ^ soc/core/_28555_/A (sky130_fd_sc_hd__clkinv_4) - 0.12 0.13 9.40 v soc/core/_28555_/Y (sky130_fd_sc_hd__clkinv_4) - 2 0.05 soc/core/core_rst (net) - 0.12 0.01 9.41 v soc/core/_31369_/D (sky130_fd_sc_hd__dfxtp_4) - 9.41 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 14.82 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 14.96 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.05 0.00 14.96 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 15.11 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.05 0.00 15.11 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 15.26 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.05 0.00 15.26 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.12 15.38 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.03 0.00 15.38 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.24 0.27 15.65 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.25 0.03 15.67 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 15.86 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_0_0_core_clk (net) - 0.03 0.00 15.86 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.52 0.45 16.31 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.18 soc/core/clknet_2_0_1_core_clk (net) - 0.54 0.08 16.39 ^ soc/core/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.06 0.29 16.68 ^ soc/core/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_0_0_core_clk (net) - 0.06 0.00 16.68 ^ soc/core/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.14 16.82 ^ soc/core/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_0_1_core_clk (net) - 0.04 0.00 16.82 ^ soc/core/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.16 16.98 ^ soc/core/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 2 0.02 soc/core/clknet_3_0_2_core_clk (net) - 0.07 0.00 16.98 ^ soc/core/clkbuf_4_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.06 0.16 17.14 ^ soc/core/clkbuf_4_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 2 0.02 soc/core/clknet_4_1_0_core_clk (net) - 0.06 0.00 17.14 ^ soc/core/clkbuf_5_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.13 17.28 ^ soc/core/clkbuf_5_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_2_0_core_clk (net) - 0.04 0.00 17.28 ^ soc/core/clkbuf_5_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.34 17.62 ^ soc/core/clkbuf_5_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 14 0.12 soc/core/clknet_5_2_1_core_clk (net) - 0.36 0.04 17.66 ^ soc/core/clkbuf_opt_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.22 17.87 ^ soc/core/clkbuf_opt_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.01 soc/core/clknet_opt_8_0_core_clk (net) - 0.04 0.00 17.88 ^ soc/core/clkbuf_opt_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.13 18.01 ^ soc/core/clkbuf_opt_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_8_1_core_clk (net) - 0.04 0.00 18.01 ^ soc/core/clkbuf_leaf_8_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.06 0.15 18.16 ^ soc/core/clkbuf_leaf_8_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 10 0.04 soc/core/clknet_leaf_8_core_clk (net) - 0.06 0.00 18.16 ^ soc/core/_31369_/CLK (sky130_fd_sc_hd__dfxtp_4) - 0.25 18.41 clock uncertainty - -5.78 12.63 clock reconvergence pessimism - -0.07 12.56 library hold time - 12.56 data required time ------------------------------------------------------------------------------ - 12.56 data required time - -9.41 data arrival time ------------------------------------------------------------------------------ - -3.14 slack (VIOLATED) - - -Startpoint: housekeeping/_9119_ - (rising edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31230_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.19 9.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 9.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.54 0.45 9.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) - 0.54 0.00 9.92 ^ housekeeping/_9119_/CLK (sky130_fd_sc_hd__dfrtp_4) - 0.16 0.58 10.51 ^ housekeeping/_9119_/Q (sky130_fd_sc_hd__dfrtp_4) - 2 0.05 housekeeping/net327 (net) - 0.16 0.01 10.51 ^ housekeeping/output327/A (sky130_fd_sc_hd__buf_2) - 0.04 0.13 10.65 ^ housekeeping/output327/X (sky130_fd_sc_hd__buf_2) - 2 0.01 hk_ack_i (net) - 0.04 0.01 10.65 ^ soc/core/input5/A (sky130_fd_sc_hd__buf_6) - 0.03 0.08 10.73 ^ soc/core/input5/X (sky130_fd_sc_hd__buf_6) - 1 0.01 soc/core/net5 (net) - 0.03 0.00 10.73 ^ soc/core/hold2048/A (sky130_fd_sc_hd__buf_6) - 0.15 0.15 10.88 ^ soc/core/hold2048/X (sky130_fd_sc_hd__buf_6) - 2 0.07 soc/core/net4914 (net) - 0.16 0.02 10.90 ^ soc/core/_15303_/B (sky130_fd_sc_hd__nor2_1) - 0.04 0.05 10.96 v soc/core/_15303_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 soc/core/_14967_ (net) - 0.04 0.00 10.96 v soc/core/hold1126/A (sky130_fd_sc_hd__clkbuf_1) - 0.09 0.13 11.08 v soc/core/hold1126/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.01 soc/core/net3992 (net) - 0.09 0.00 11.09 v soc/core/_15306_/A (sky130_fd_sc_hd__and3_1) - 0.06 0.18 11.27 v soc/core/_15306_/X (sky130_fd_sc_hd__and3_1) - 1 0.01 soc/core/_14970_ (net) - 0.06 0.00 11.27 v soc/core/_15307_/B1 (sky130_fd_sc_hd__o21a_2) - 0.07 0.15 11.42 v soc/core/_15307_/X (sky130_fd_sc_hd__o21a_2) - 1 0.02 soc/core/_14971_ (net) - 0.07 0.00 11.42 v soc/core/_15308_/B (sky130_fd_sc_hd__nand2_8) - 0.14 0.13 11.56 ^ soc/core/_15308_/Y (sky130_fd_sc_hd__nand2_8) - 10 0.07 soc/core/_14972_ (net) - 0.14 0.01 11.57 ^ soc/core/_19116_/A (sky130_fd_sc_hd__and2_1) - 0.18 0.23 11.79 ^ soc/core/_19116_/X (sky130_fd_sc_hd__and2_1) - 2 0.02 soc/core/_08862_ (net) - 0.18 0.00 11.80 ^ soc/core/_19117_/A (sky130_fd_sc_hd__clkbuf_16) - 0.16 0.22 12.02 ^ soc/core/_19117_/X (sky130_fd_sc_hd__clkbuf_16) - 16 0.16 soc/core/_08863_ (net) - 0.22 0.07 12.09 ^ soc/core/_25017_/C (sky130_fd_sc_hd__and3_1) - 0.06 0.18 12.27 ^ soc/core/_25017_/X (sky130_fd_sc_hd__and3_1) - 1 0.00 soc/core/_12412_ (net) - 0.06 0.00 12.27 ^ soc/core/_25018_/C1 (sky130_fd_sc_hd__a221o_1) - 0.05 0.10 12.37 ^ soc/core/_25018_/X (sky130_fd_sc_hd__a221o_1) - 1 0.00 soc/core/_02951_ (net) - 0.05 0.00 12.37 ^ soc/core/_31230_/D (sky130_fd_sc_hd__dfxtp_2) - 12.37 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 14.82 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 14.96 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.05 0.00 14.96 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 15.11 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.05 0.00 15.11 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 15.26 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.05 0.00 15.26 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.12 15.38 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.03 0.00 15.38 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.24 0.27 15.65 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.25 0.03 15.67 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 15.86 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_0_0_core_clk (net) - 0.03 0.00 15.86 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.52 0.45 16.31 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.18 soc/core/clknet_2_0_1_core_clk (net) - 0.54 0.07 16.38 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.25 16.64 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_3_1_0_core_clk (net) - 0.04 0.00 16.64 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.12 16.75 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_3_1_1_core_clk (net) - 0.03 0.00 16.75 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.16 16.91 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.02 soc/core/clknet_3_1_2_core_clk (net) - 0.08 0.00 16.91 ^ soc/core/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 17.10 ^ soc/core/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_3_0_core_clk (net) - 0.09 0.00 17.10 ^ soc/core/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 17.25 ^ soc/core/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_7_0_core_clk (net) - 0.04 0.00 17.25 ^ soc/core/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.52 0.48 17.73 ^ soc/core/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 24 0.18 soc/core/clknet_5_7_1_core_clk (net) - 0.52 0.01 17.75 ^ soc/core/clkbuf_opt_19_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.25 18.00 ^ soc/core/clkbuf_opt_19_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.01 soc/core/clknet_opt_19_0_core_clk (net) - 0.05 0.00 18.00 ^ soc/core/clkbuf_opt_19_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.14 18.13 ^ soc/core/clkbuf_opt_19_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_19_1_core_clk (net) - 0.05 0.00 18.14 ^ soc/core/clkbuf_leaf_109_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.09 0.17 18.31 ^ soc/core/clkbuf_leaf_109_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 22 0.07 soc/core/clknet_leaf_109_core_clk (net) - 0.09 0.00 18.31 ^ soc/core/_31230_/CLK (sky130_fd_sc_hd__dfxtp_2) - 0.25 18.56 clock uncertainty - -5.81 12.75 clock reconvergence pessimism - -0.03 12.73 library hold time - 12.73 data required time ------------------------------------------------------------------------------ - 12.73 data required time - -12.37 data arrival time ------------------------------------------------------------------------------ - -0.35 slack (VIOLATED) - - -Startpoint: housekeeping/_9026_ - (rising edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31741_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.14 0.20 9.48 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_2_2_0_wb_clk_i (net) - 0.14 0.00 9.48 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.22 0.25 9.73 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net) - 0.22 0.00 9.73 ^ housekeeping/_9026_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.07 0.36 10.09 ^ housekeeping/_9026_/Q (sky130_fd_sc_hd__dfxtp_1) - 2 0.01 housekeeping/net356 (net) - 0.07 0.00 10.09 ^ housekeeping/output356/A (sky130_fd_sc_hd__buf_2) - 0.05 0.11 10.21 ^ housekeeping/output356/X (sky130_fd_sc_hd__buf_2) - 2 0.01 hk_dat_i[6] (net) - 0.06 0.01 10.21 ^ soc/core/input34/A (sky130_fd_sc_hd__buf_8) - 0.03 0.08 10.30 ^ soc/core/input34/X (sky130_fd_sc_hd__buf_8) - 1 0.01 soc/core/net34 (net) - 0.03 0.00 10.30 ^ soc/core/hold1886/A (sky130_fd_sc_hd__buf_8) - 0.20 0.17 10.47 ^ soc/core/hold1886/X (sky130_fd_sc_hd__buf_8) - 2 0.12 soc/core/net4752 (net) - 0.22 0.05 10.52 ^ soc/core/_23052_/A2 (sky130_fd_sc_hd__a211o_4) - 0.02 0.15 10.67 ^ soc/core/_23052_/X (sky130_fd_sc_hd__a211o_4) - 1 0.00 soc/core/_11337_ (net) - 0.02 0.00 10.67 ^ soc/core/hold879/A (sky130_fd_sc_hd__buf_2) - 0.21 0.20 10.87 ^ soc/core/hold879/X (sky130_fd_sc_hd__buf_2) - 2 0.04 soc/core/net3745 (net) - 0.21 0.01 10.88 ^ soc/core/_23053_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.03 0.14 11.01 ^ soc/core/_23053_/X (sky130_fd_sc_hd__a2111o_4) - 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[6] (net) - 0.03 0.00 11.01 ^ soc/core/hold876/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.11 0.55 11.56 ^ soc/core/hold876/X (sky130_fd_sc_hd__dlygate4sd3_1) - 1 0.01 soc/core/net3743 (net) - 0.11 0.00 11.56 ^ soc/core/hold877/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.13 0.59 12.15 ^ soc/core/hold877/X (sky130_fd_sc_hd__dlygate4sd3_1) - 1 0.01 soc/core/net3744 (net) - 0.13 0.00 12.15 ^ soc/core/hold878/A (sky130_fd_sc_hd__buf_4) - 0.19 0.23 12.38 ^ soc/core/hold878/X (sky130_fd_sc_hd__buf_4) - 8 0.07 soc/core/net3742 (net) - 0.20 0.01 12.40 ^ soc/core/_31741_/D (sky130_fd_sc_hd__dfxtp_1) - 12.40 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 14.82 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 14.96 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.05 0.00 14.96 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 15.11 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.05 0.00 15.11 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 15.26 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.05 0.00 15.26 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.12 15.38 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.03 0.00 15.38 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.24 0.27 15.65 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.25 0.03 15.67 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 15.86 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_0_0_core_clk (net) - 0.03 0.00 15.86 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.52 0.45 16.31 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.18 soc/core/clknet_2_0_1_core_clk (net) - 0.54 0.07 16.38 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.25 16.64 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_3_1_0_core_clk (net) - 0.04 0.00 16.64 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.12 16.75 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_3_1_1_core_clk (net) - 0.03 0.00 16.75 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.16 16.91 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.02 soc/core/clknet_3_1_2_core_clk (net) - 0.08 0.00 16.91 ^ soc/core/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 17.10 ^ soc/core/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_3_0_core_clk (net) - 0.09 0.00 17.10 ^ soc/core/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 17.25 ^ soc/core/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_7_0_core_clk (net) - 0.04 0.00 17.25 ^ soc/core/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.52 0.48 17.73 ^ soc/core/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 24 0.18 soc/core/clknet_5_7_1_core_clk (net) - 0.52 0.01 17.75 ^ soc/core/clkbuf_opt_19_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.25 18.00 ^ soc/core/clkbuf_opt_19_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.01 soc/core/clknet_opt_19_0_core_clk (net) - 0.05 0.00 18.00 ^ soc/core/clkbuf_opt_19_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.14 18.13 ^ soc/core/clkbuf_opt_19_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_19_1_core_clk (net) - 0.05 0.00 18.14 ^ soc/core/clkbuf_leaf_109_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.09 0.17 18.31 ^ soc/core/clkbuf_leaf_109_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 22 0.07 soc/core/clknet_leaf_109_core_clk (net) - 0.09 0.00 18.31 ^ soc/core/_31741_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.25 18.56 clock uncertainty - -5.81 12.75 clock reconvergence pessimism - -0.06 12.70 library hold time - 12.70 data required time ------------------------------------------------------------------------------ - 12.70 data required time - -12.40 data arrival time ------------------------------------------------------------------------------ - -0.30 slack (VIOLATED) - - -Startpoint: housekeeping/_9119_ - (rising edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_34040_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.19 9.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 9.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.54 0.45 9.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) - 0.54 0.00 9.92 ^ housekeeping/_9119_/CLK (sky130_fd_sc_hd__dfrtp_4) - 0.16 0.58 10.51 ^ housekeeping/_9119_/Q (sky130_fd_sc_hd__dfrtp_4) - 2 0.05 housekeeping/net327 (net) - 0.16 0.01 10.51 ^ housekeeping/output327/A (sky130_fd_sc_hd__buf_2) - 0.04 0.13 10.65 ^ housekeeping/output327/X (sky130_fd_sc_hd__buf_2) - 2 0.01 hk_ack_i (net) - 0.04 0.01 10.65 ^ soc/core/input5/A (sky130_fd_sc_hd__buf_6) - 0.03 0.08 10.73 ^ soc/core/input5/X (sky130_fd_sc_hd__buf_6) - 1 0.01 soc/core/net5 (net) - 0.03 0.00 10.73 ^ soc/core/hold2048/A (sky130_fd_sc_hd__buf_6) - 0.15 0.15 10.88 ^ soc/core/hold2048/X (sky130_fd_sc_hd__buf_6) - 2 0.07 soc/core/net4914 (net) - 0.16 0.02 10.90 ^ soc/core/_15303_/B (sky130_fd_sc_hd__nor2_1) - 0.04 0.05 10.96 v soc/core/_15303_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 soc/core/_14967_ (net) - 0.04 0.00 10.96 v soc/core/hold1126/A (sky130_fd_sc_hd__clkbuf_1) - 0.09 0.13 11.08 v soc/core/hold1126/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.01 soc/core/net3992 (net) - 0.09 0.00 11.09 v soc/core/_15306_/A (sky130_fd_sc_hd__and3_1) - 0.06 0.18 11.27 v soc/core/_15306_/X (sky130_fd_sc_hd__and3_1) - 1 0.01 soc/core/_14970_ (net) - 0.06 0.00 11.27 v soc/core/_15307_/B1 (sky130_fd_sc_hd__o21a_2) - 0.07 0.15 11.42 v soc/core/_15307_/X (sky130_fd_sc_hd__o21a_2) - 1 0.02 soc/core/_14971_ (net) - 0.07 0.00 11.42 v soc/core/_15308_/B (sky130_fd_sc_hd__nand2_8) - 0.14 0.13 11.56 ^ soc/core/_15308_/Y (sky130_fd_sc_hd__nand2_8) - 10 0.07 soc/core/_14972_ (net) - 0.14 0.01 11.57 ^ soc/core/_15313_/A (sky130_fd_sc_hd__nand2_8) - 0.11 0.11 11.68 v soc/core/_15313_/Y (sky130_fd_sc_hd__nand2_8) - 6 0.07 soc/core/_14977_ (net) - 0.11 0.01 11.69 v soc/core/_15315_/A (sky130_fd_sc_hd__nand2_2) - 0.04 0.07 11.76 ^ soc/core/_15315_/Y (sky130_fd_sc_hd__nand2_2) - 1 0.00 soc/core/_14979_ (net) - 0.04 0.00 11.76 ^ soc/core/hold2047/A (sky130_fd_sc_hd__clkbuf_2) - 0.12 0.15 11.91 ^ soc/core/hold2047/X (sky130_fd_sc_hd__clkbuf_2) - 4 0.02 soc/core/net4913 (net) - 0.12 0.00 11.91 ^ soc/core/_19218_/A2 (sky130_fd_sc_hd__a21oi_1) - 0.05 0.08 11.99 v soc/core/_19218_/Y (sky130_fd_sc_hd__a21oi_1) - 1 0.00 soc/core/_08943_ (net) - 0.05 0.00 11.99 v soc/core/_19219_/B (sky130_fd_sc_hd__nor2_1) - 0.28 0.22 12.22 ^ soc/core/_19219_/Y (sky130_fd_sc_hd__nor2_1) - 3 0.02 soc/core/_08944_ (net) - 0.28 0.00 12.22 ^ soc/core/_19238_/A1 (sky130_fd_sc_hd__o211a_1) - 0.07 0.21 12.43 ^ soc/core/_19238_/X (sky130_fd_sc_hd__o211a_1) - 1 0.01 soc/core/_05214_ (net) - 0.07 0.00 12.43 ^ soc/core/_34040_/D (sky130_fd_sc_hd__dfxtp_2) - 12.43 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 14.82 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 14.96 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 14.96 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 15.10 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 15.10 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 15.24 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 15.24 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 15.39 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 15.39 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.25 15.64 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 15.65 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.19 15.84 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_3_0_core_clk (net) - 0.04 0.00 15.84 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.15 0.22 16.06 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_3_1_core_clk (net) - 0.15 0.00 16.06 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.18 16.25 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_6_0_core_clk (net) - 0.05 0.00 16.25 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.13 16.38 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_6_1_core_clk (net) - 0.04 0.00 16.38 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.13 0.20 16.58 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_6_2_core_clk (net) - 0.13 0.00 16.59 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.22 16.80 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_12_0_core_clk (net) - 0.10 0.00 16.80 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.16 16.96 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_25_0_core_clk (net) - 0.04 0.00 16.96 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.84 0.72 17.68 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 36 0.29 soc/core/clknet_5_25_1_core_clk (net) - 0.84 0.02 17.70 ^ soc/core/clkbuf_opt_42_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.06 0.29 17.99 ^ soc/core/clkbuf_opt_42_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_42_0_core_clk (net) - 0.06 0.00 17.99 ^ soc/core/clkbuf_opt_42_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.13 18.12 ^ soc/core/clkbuf_opt_42_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_42_1_core_clk (net) - 0.04 0.00 18.12 ^ soc/core/clkbuf_leaf_234_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.06 0.14 18.27 ^ soc/core/clkbuf_leaf_234_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 9 0.04 soc/core/clknet_leaf_234_core_clk (net) - 0.06 0.00 18.27 ^ soc/core/_34040_/CLK (sky130_fd_sc_hd__dfxtp_2) - 0.25 18.52 clock uncertainty - -5.81 12.71 clock reconvergence pessimism - -0.03 12.68 library hold time - 12.68 data required time ------------------------------------------------------------------------------ - 12.68 data required time - -12.43 data arrival time ------------------------------------------------------------------------------ - -0.25 slack (VIOLATED) - - -Startpoint: housekeeping/_9119_ - (rising edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_34039_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.19 9.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 9.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.54 0.45 9.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) - 0.54 0.00 9.92 ^ housekeeping/_9119_/CLK (sky130_fd_sc_hd__dfrtp_4) - 0.16 0.58 10.51 ^ housekeeping/_9119_/Q (sky130_fd_sc_hd__dfrtp_4) - 2 0.05 housekeeping/net327 (net) - 0.16 0.01 10.51 ^ housekeeping/output327/A (sky130_fd_sc_hd__buf_2) - 0.04 0.13 10.65 ^ housekeeping/output327/X (sky130_fd_sc_hd__buf_2) - 2 0.01 hk_ack_i (net) - 0.04 0.01 10.65 ^ soc/core/input5/A (sky130_fd_sc_hd__buf_6) - 0.03 0.08 10.73 ^ soc/core/input5/X (sky130_fd_sc_hd__buf_6) - 1 0.01 soc/core/net5 (net) - 0.03 0.00 10.73 ^ soc/core/hold2048/A (sky130_fd_sc_hd__buf_6) - 0.15 0.15 10.88 ^ soc/core/hold2048/X (sky130_fd_sc_hd__buf_6) - 2 0.07 soc/core/net4914 (net) - 0.16 0.02 10.90 ^ soc/core/_15303_/B (sky130_fd_sc_hd__nor2_1) - 0.04 0.05 10.96 v soc/core/_15303_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 soc/core/_14967_ (net) - 0.04 0.00 10.96 v soc/core/hold1126/A (sky130_fd_sc_hd__clkbuf_1) - 0.09 0.13 11.08 v soc/core/hold1126/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.01 soc/core/net3992 (net) - 0.09 0.00 11.09 v soc/core/_15306_/A (sky130_fd_sc_hd__and3_1) - 0.06 0.18 11.27 v soc/core/_15306_/X (sky130_fd_sc_hd__and3_1) - 1 0.01 soc/core/_14970_ (net) - 0.06 0.00 11.27 v soc/core/_15307_/B1 (sky130_fd_sc_hd__o21a_2) - 0.07 0.15 11.42 v soc/core/_15307_/X (sky130_fd_sc_hd__o21a_2) - 1 0.02 soc/core/_14971_ (net) - 0.07 0.00 11.42 v soc/core/_15308_/B (sky130_fd_sc_hd__nand2_8) - 0.14 0.13 11.56 ^ soc/core/_15308_/Y (sky130_fd_sc_hd__nand2_8) - 10 0.07 soc/core/_14972_ (net) - 0.14 0.01 11.57 ^ soc/core/_15313_/A (sky130_fd_sc_hd__nand2_8) - 0.11 0.11 11.68 v soc/core/_15313_/Y (sky130_fd_sc_hd__nand2_8) - 6 0.07 soc/core/_14977_ (net) - 0.11 0.01 11.69 v soc/core/_15315_/A (sky130_fd_sc_hd__nand2_2) - 0.04 0.07 11.76 ^ soc/core/_15315_/Y (sky130_fd_sc_hd__nand2_2) - 1 0.00 soc/core/_14979_ (net) - 0.04 0.00 11.76 ^ soc/core/hold2047/A (sky130_fd_sc_hd__clkbuf_2) - 0.12 0.15 11.91 ^ soc/core/hold2047/X (sky130_fd_sc_hd__clkbuf_2) - 4 0.02 soc/core/net4913 (net) - 0.12 0.00 11.91 ^ soc/core/_19232_/A2 (sky130_fd_sc_hd__a21o_1) - 0.05 0.13 12.04 ^ soc/core/_19232_/X (sky130_fd_sc_hd__a21o_1) - 1 0.01 soc/core/_08956_ (net) - 0.05 0.00 12.05 ^ soc/core/_19233_/A (sky130_fd_sc_hd__nand2_2) - 0.11 0.10 12.15 v soc/core/_19233_/Y (sky130_fd_sc_hd__nand2_2) - 4 0.03 soc/core/_02167_ (net) - 0.11 0.00 12.15 v soc/core/_19240_/A (sky130_fd_sc_hd__nand2_1) - 0.05 0.08 12.22 ^ soc/core/_19240_/Y (sky130_fd_sc_hd__nand2_1) - 1 0.00 soc/core/_08961_ (net) - 0.05 0.00 12.22 ^ soc/core/_19241_/C (sky130_fd_sc_hd__and3_1) - 0.06 0.14 12.37 ^ soc/core/_19241_/X (sky130_fd_sc_hd__and3_1) - 1 0.00 soc/core/_08962_ (net) - 0.06 0.00 12.37 ^ soc/core/_19242_/A (sky130_fd_sc_hd__clkbuf_1) - 0.04 0.08 12.45 ^ soc/core/_19242_/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/_05213_ (net) - 0.04 0.00 12.45 ^ soc/core/_34039_/D (sky130_fd_sc_hd__dfxtp_1) - 12.45 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 14.82 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 14.96 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 14.96 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 15.10 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 15.10 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 15.24 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 15.24 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 15.39 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 15.39 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.25 15.64 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 15.65 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.19 15.84 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_3_0_core_clk (net) - 0.04 0.00 15.84 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.15 0.22 16.06 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_3_1_core_clk (net) - 0.15 0.00 16.06 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.18 16.25 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_6_0_core_clk (net) - 0.05 0.00 16.25 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.13 16.38 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_6_1_core_clk (net) - 0.04 0.00 16.38 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.13 0.20 16.58 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_6_2_core_clk (net) - 0.13 0.00 16.59 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.22 16.80 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_12_0_core_clk (net) - 0.10 0.00 16.80 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.16 16.96 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_25_0_core_clk (net) - 0.04 0.00 16.96 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.84 0.72 17.68 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 36 0.29 soc/core/clknet_5_25_1_core_clk (net) - 0.84 0.02 17.70 ^ soc/core/clkbuf_opt_42_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.06 0.29 17.99 ^ soc/core/clkbuf_opt_42_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_42_0_core_clk (net) - 0.06 0.00 17.99 ^ soc/core/clkbuf_opt_42_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.13 18.12 ^ soc/core/clkbuf_opt_42_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_42_1_core_clk (net) - 0.04 0.00 18.12 ^ soc/core/clkbuf_leaf_234_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.06 0.14 18.27 ^ soc/core/clkbuf_leaf_234_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 9 0.04 soc/core/clknet_leaf_234_core_clk (net) - 0.06 0.00 18.27 ^ soc/core/_34039_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.25 18.52 clock uncertainty - -5.81 12.71 clock reconvergence pessimism - -0.03 12.68 library hold time - 12.68 data required time ------------------------------------------------------------------------------ - 12.68 data required time - -12.45 data arrival time ------------------------------------------------------------------------------ - -0.24 slack (VIOLATED) - - -Startpoint: housekeeping/_9119_ - (rising edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_35092_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.19 9.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 9.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.54 0.45 9.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) - 0.54 0.00 9.92 ^ housekeeping/_9119_/CLK (sky130_fd_sc_hd__dfrtp_4) - 0.16 0.58 10.51 ^ housekeeping/_9119_/Q (sky130_fd_sc_hd__dfrtp_4) - 2 0.05 housekeeping/net327 (net) - 0.16 0.01 10.51 ^ housekeeping/output327/A (sky130_fd_sc_hd__buf_2) - 0.04 0.13 10.65 ^ housekeeping/output327/X (sky130_fd_sc_hd__buf_2) - 2 0.01 hk_ack_i (net) - 0.04 0.01 10.65 ^ soc/core/input5/A (sky130_fd_sc_hd__buf_6) - 0.03 0.08 10.73 ^ soc/core/input5/X (sky130_fd_sc_hd__buf_6) - 1 0.01 soc/core/net5 (net) - 0.03 0.00 10.73 ^ soc/core/hold2048/A (sky130_fd_sc_hd__buf_6) - 0.15 0.15 10.88 ^ soc/core/hold2048/X (sky130_fd_sc_hd__buf_6) - 2 0.07 soc/core/net4914 (net) - 0.16 0.02 10.90 ^ soc/core/_15303_/B (sky130_fd_sc_hd__nor2_1) - 0.04 0.05 10.96 v soc/core/_15303_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 soc/core/_14967_ (net) - 0.04 0.00 10.96 v soc/core/hold1126/A (sky130_fd_sc_hd__clkbuf_1) - 0.09 0.13 11.08 v soc/core/hold1126/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.01 soc/core/net3992 (net) - 0.09 0.00 11.09 v soc/core/_15306_/A (sky130_fd_sc_hd__and3_1) - 0.06 0.18 11.27 v soc/core/_15306_/X (sky130_fd_sc_hd__and3_1) - 1 0.01 soc/core/_14970_ (net) - 0.06 0.00 11.27 v soc/core/_15307_/B1 (sky130_fd_sc_hd__o21a_2) - 0.07 0.15 11.42 v soc/core/_15307_/X (sky130_fd_sc_hd__o21a_2) - 1 0.02 soc/core/_14971_ (net) - 0.07 0.00 11.42 v soc/core/_15308_/B (sky130_fd_sc_hd__nand2_8) - 0.14 0.13 11.56 ^ soc/core/_15308_/Y (sky130_fd_sc_hd__nand2_8) - 10 0.07 soc/core/_14972_ (net) - 0.14 0.00 11.56 ^ soc/core/_15391_/A (sky130_fd_sc_hd__clkinv_2) - 0.11 0.13 11.69 v soc/core/_15391_/Y (sky130_fd_sc_hd__clkinv_2) - 4 0.03 soc/core/_06316_ (net) - 0.11 0.00 11.69 v soc/core/_15519_/A (sky130_fd_sc_hd__and3_1) - 0.03 0.16 11.84 v soc/core/_15519_/X (sky130_fd_sc_hd__and3_1) - 1 0.00 soc/core/_06405_ (net) - 0.03 0.00 11.84 v soc/core/_15520_/A (sky130_fd_sc_hd__clkbuf_4) - 0.12 0.20 12.04 v soc/core/_15520_/X (sky130_fd_sc_hd__clkbuf_4) - 16 0.06 soc/core/_06406_ (net) - 0.12 0.00 12.04 v soc/core/_15532_/B (sky130_fd_sc_hd__nand3_1) - 0.05 0.10 12.15 ^ soc/core/_15532_/Y (sky130_fd_sc_hd__nand3_1) - 1 0.00 soc/core/_06266_ (net) - 0.05 0.00 12.15 ^ soc/core/_35092_/D (sky130_fd_sc_hd__dfxtp_1) - 12.15 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 14.82 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 14.96 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 14.96 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 15.10 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 15.10 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 15.24 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 15.24 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 15.39 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 15.39 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.25 15.64 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 15.65 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.19 15.84 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_3_0_core_clk (net) - 0.04 0.00 15.84 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.15 0.22 16.06 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_3_1_core_clk (net) - 0.15 0.00 16.06 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.18 16.24 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_7_0_core_clk (net) - 0.05 0.00 16.24 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.13 16.38 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_7_1_core_clk (net) - 0.04 0.00 16.38 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.21 16.58 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_7_2_core_clk (net) - 0.14 0.01 16.59 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.22 16.81 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_14_0_core_clk (net) - 0.10 0.00 16.81 ^ soc/core/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 16.97 ^ soc/core/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_28_0_core_clk (net) - 0.04 0.00 16.97 ^ soc/core/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.74 0.64 17.61 ^ soc/core/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 32 0.25 soc/core/clknet_5_28_1_core_clk (net) - 0.74 0.01 17.62 ^ soc/core/clkbuf_leaf_194_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.09 0.32 17.94 ^ soc/core/clkbuf_leaf_194_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 24 0.06 soc/core/clknet_leaf_194_core_clk (net) - 0.09 0.00 17.95 ^ soc/core/_35092_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.25 18.20 clock uncertainty - -5.81 12.39 clock reconvergence pessimism - -0.03 12.36 library hold time - 12.36 data required time ------------------------------------------------------------------------------ - 12.36 data required time - -12.15 data arrival time ------------------------------------------------------------------------------ - -0.22 slack (VIOLATED) - - -Startpoint: housekeeping/_9023_ - (rising edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31258_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.14 0.20 9.48 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_2_2_0_wb_clk_i (net) - 0.14 0.00 9.48 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.22 0.25 9.73 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net) - 0.22 0.00 9.73 ^ housekeeping/_9023_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.09 0.38 10.11 ^ housekeeping/_9023_/Q (sky130_fd_sc_hd__dfxtp_1) - 2 0.01 housekeeping/net353 (net) - 0.09 0.00 10.11 ^ housekeeping/output353/A (sky130_fd_sc_hd__buf_2) - 0.05 0.12 10.23 ^ housekeeping/output353/X (sky130_fd_sc_hd__buf_2) - 2 0.01 hk_dat_i[3] (net) - 0.06 0.01 10.24 ^ soc/core/input31/A (sky130_fd_sc_hd__buf_8) - 0.17 0.18 10.43 ^ soc/core/input31/X (sky130_fd_sc_hd__buf_8) - 2 0.11 soc/core/net31 (net) - 0.17 0.01 10.43 ^ soc/core/_23078_/B2 (sky130_fd_sc_hd__a22o_1) - 0.07 0.16 10.60 ^ soc/core/_23078_/X (sky130_fd_sc_hd__a22o_1) - 1 0.01 soc/core/_11357_ (net) - 0.07 0.00 10.60 ^ soc/core/_23079_/C1 (sky130_fd_sc_hd__a211o_2) - 0.02 0.09 10.68 ^ soc/core/_23079_/X (sky130_fd_sc_hd__a211o_2) - 1 0.00 soc/core/_11358_ (net) - 0.02 0.00 10.68 ^ soc/core/hold1057/A (sky130_fd_sc_hd__buf_2) - 0.15 0.17 10.85 ^ soc/core/hold1057/X (sky130_fd_sc_hd__buf_2) - 2 0.03 soc/core/net3923 (net) - 0.15 0.01 10.86 ^ soc/core/_23080_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.03 0.12 10.98 ^ soc/core/_23080_/X (sky130_fd_sc_hd__a2111o_4) - 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[3] (net) - 0.03 0.00 10.98 ^ soc/core/hold1055/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.22 0.63 11.61 ^ soc/core/hold1055/X (sky130_fd_sc_hd__dlygate4sd3_1) - 1 0.02 soc/core/net3922 (net) - 0.22 0.00 11.61 ^ soc/core/hold1056/A (sky130_fd_sc_hd__buf_6) - 0.19 0.23 11.84 ^ soc/core/hold1056/X (sky130_fd_sc_hd__buf_6) - 6 0.09 soc/core/net3921 (net) - 0.19 0.02 11.86 ^ soc/core/_24949_/B1 (sky130_fd_sc_hd__a221o_1) - 0.11 0.21 12.07 ^ soc/core/_24949_/X (sky130_fd_sc_hd__a221o_1) - 1 0.01 soc/core/_02979_ (net) - 0.11 0.00 12.07 ^ soc/core/_31258_/D (sky130_fd_sc_hd__dfxtp_1) - 12.07 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 14.82 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 14.96 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.05 0.00 14.96 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 15.11 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.05 0.00 15.11 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 15.26 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.05 0.00 15.26 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.12 15.38 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.03 0.00 15.38 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.24 0.27 15.65 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.25 0.03 15.67 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 15.86 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_0_0_core_clk (net) - 0.03 0.00 15.86 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.52 0.45 16.31 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.18 soc/core/clknet_2_0_1_core_clk (net) - 0.54 0.07 16.38 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.25 16.64 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_3_1_0_core_clk (net) - 0.04 0.00 16.64 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.12 16.75 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_3_1_1_core_clk (net) - 0.03 0.00 16.75 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.16 16.91 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.02 soc/core/clknet_3_1_2_core_clk (net) - 0.08 0.00 16.91 ^ soc/core/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 17.10 ^ soc/core/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_3_0_core_clk (net) - 0.09 0.00 17.10 ^ soc/core/clkbuf_5_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.14 17.25 ^ soc/core/clkbuf_5_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_6_0_core_clk (net) - 0.04 0.00 17.25 ^ soc/core/clkbuf_5_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.36 0.37 17.61 ^ soc/core/clkbuf_5_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 16 0.12 soc/core/clknet_5_6_1_core_clk (net) - 0.36 0.01 17.63 ^ soc/core/clkbuf_leaf_16_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.06 0.24 17.87 ^ soc/core/clkbuf_leaf_16_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 12 0.04 soc/core/clknet_leaf_16_core_clk (net) - 0.06 0.00 17.87 ^ soc/core/_31258_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.25 18.12 clock uncertainty - -5.81 12.32 clock reconvergence pessimism - -0.04 12.27 library hold time - 12.27 data required time ------------------------------------------------------------------------------ - 12.27 data required time - -12.07 data arrival time ------------------------------------------------------------------------------ - -0.21 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9043_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.05 0.48 8.97 ^ clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.05 0.00 8.98 ^ clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.02 0.03 9.01 v clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.02 0.00 9.01 v clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.05 0.13 9.14 v clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.06 0.01 9.15 v housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.08 0.15 9.30 v housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.28 0.12 9.42 v housekeeping/_6146_/A (sky130_fd_sc_hd__inv_2) - 0.09 0.14 9.56 ^ housekeeping/_6146_/Y (sky130_fd_sc_hd__inv_2) - 4 0.01 housekeeping/_1931_ (net) - 0.09 0.00 9.56 ^ housekeeping/_6147_/A (sky130_fd_sc_hd__or2_1) - 0.13 0.16 9.73 ^ housekeeping/_6147_/X (sky130_fd_sc_hd__or2_1) - 1 0.01 housekeeping/_1932_ (net) - 0.13 0.00 9.73 ^ housekeeping/_6148_/A (sky130_fd_sc_hd__clkbuf_4) - 0.12 0.21 9.94 ^ housekeeping/_6148_/X (sky130_fd_sc_hd__clkbuf_4) - 9 0.04 housekeeping/_1933_ (net) - 0.12 0.00 9.94 ^ housekeeping/_6150_/A2 (sky130_fd_sc_hd__o22a_1) - 0.04 0.13 10.07 ^ housekeeping/_6150_/X (sky130_fd_sc_hd__o22a_1) - 1 0.00 housekeeping/_0293_ (net) - 0.04 0.00 10.07 ^ housekeeping/_9043_/D (sky130_fd_sc_hd__dfxtp_1) - 10.07 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.43 0.41 15.76 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) - 0.43 0.01 15.78 ^ housekeeping/_9043_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.25 16.03 clock uncertainty - -5.78 10.25 clock reconvergence pessimism - 0.02 10.27 library hold time - 10.27 data required time ------------------------------------------------------------------------------ - 10.27 data required time - -10.07 data arrival time ------------------------------------------------------------------------------ - -0.20 slack (VIOLATED) - - -Startpoint: housekeeping/_9119_ - (rising edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_35087_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.19 9.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 9.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.54 0.45 9.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) - 0.54 0.00 9.92 ^ housekeeping/_9119_/CLK (sky130_fd_sc_hd__dfrtp_4) - 0.16 0.58 10.51 ^ housekeeping/_9119_/Q (sky130_fd_sc_hd__dfrtp_4) - 2 0.05 housekeeping/net327 (net) - 0.16 0.01 10.51 ^ housekeeping/output327/A (sky130_fd_sc_hd__buf_2) - 0.04 0.13 10.65 ^ housekeeping/output327/X (sky130_fd_sc_hd__buf_2) - 2 0.01 hk_ack_i (net) - 0.04 0.01 10.65 ^ soc/core/input5/A (sky130_fd_sc_hd__buf_6) - 0.03 0.08 10.73 ^ soc/core/input5/X (sky130_fd_sc_hd__buf_6) - 1 0.01 soc/core/net5 (net) - 0.03 0.00 10.73 ^ soc/core/hold2048/A (sky130_fd_sc_hd__buf_6) - 0.15 0.15 10.88 ^ soc/core/hold2048/X (sky130_fd_sc_hd__buf_6) - 2 0.07 soc/core/net4914 (net) - 0.16 0.02 10.90 ^ soc/core/_15303_/B (sky130_fd_sc_hd__nor2_1) - 0.04 0.05 10.96 v soc/core/_15303_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 soc/core/_14967_ (net) - 0.04 0.00 10.96 v soc/core/hold1126/A (sky130_fd_sc_hd__clkbuf_1) - 0.09 0.13 11.08 v soc/core/hold1126/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.01 soc/core/net3992 (net) - 0.09 0.00 11.09 v soc/core/_15306_/A (sky130_fd_sc_hd__and3_1) - 0.06 0.18 11.27 v soc/core/_15306_/X (sky130_fd_sc_hd__and3_1) - 1 0.01 soc/core/_14970_ (net) - 0.06 0.00 11.27 v soc/core/_15307_/B1 (sky130_fd_sc_hd__o21a_2) - 0.07 0.15 11.42 v soc/core/_15307_/X (sky130_fd_sc_hd__o21a_2) - 1 0.02 soc/core/_14971_ (net) - 0.07 0.00 11.42 v soc/core/_15308_/B (sky130_fd_sc_hd__nand2_8) - 0.14 0.13 11.56 ^ soc/core/_15308_/Y (sky130_fd_sc_hd__nand2_8) - 10 0.07 soc/core/_14972_ (net) - 0.14 0.00 11.56 ^ soc/core/_15391_/A (sky130_fd_sc_hd__clkinv_2) - 0.11 0.13 11.69 v soc/core/_15391_/Y (sky130_fd_sc_hd__clkinv_2) - 4 0.03 soc/core/_06316_ (net) - 0.11 0.00 11.69 v soc/core/_15519_/A (sky130_fd_sc_hd__and3_1) - 0.03 0.16 11.84 v soc/core/_15519_/X (sky130_fd_sc_hd__and3_1) - 1 0.00 soc/core/_06405_ (net) - 0.03 0.00 11.84 v soc/core/_15520_/A (sky130_fd_sc_hd__clkbuf_4) - 0.12 0.20 12.04 v soc/core/_15520_/X (sky130_fd_sc_hd__clkbuf_4) - 16 0.06 soc/core/_06406_ (net) - 0.12 0.00 12.04 v soc/core/_15553_/B1 (sky130_fd_sc_hd__o21a_1) - 0.03 0.12 12.16 v soc/core/_15553_/X (sky130_fd_sc_hd__o21a_1) - 1 0.00 soc/core/_06261_ (net) - 0.03 0.00 12.16 v soc/core/_35087_/D (sky130_fd_sc_hd__dfxtp_1) - 12.16 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 14.82 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 14.96 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 14.96 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 15.10 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 15.10 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 15.24 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 15.24 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 15.39 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 15.39 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.25 15.64 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 15.65 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.19 15.84 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_3_0_core_clk (net) - 0.04 0.00 15.84 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.15 0.22 16.06 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_3_1_core_clk (net) - 0.15 0.00 16.06 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.18 16.24 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_7_0_core_clk (net) - 0.05 0.00 16.24 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.13 16.38 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_7_1_core_clk (net) - 0.04 0.00 16.38 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.21 16.58 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_7_2_core_clk (net) - 0.14 0.01 16.59 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.22 16.81 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_14_0_core_clk (net) - 0.10 0.00 16.81 ^ soc/core/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 16.97 ^ soc/core/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_28_0_core_clk (net) - 0.04 0.00 16.97 ^ soc/core/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.74 0.64 17.61 ^ soc/core/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 32 0.25 soc/core/clknet_5_28_1_core_clk (net) - 0.74 0.03 17.64 ^ soc/core/clkbuf_leaf_224_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.08 0.32 17.96 ^ soc/core/clkbuf_leaf_224_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 16 0.05 soc/core/clknet_leaf_224_core_clk (net) - 0.08 0.00 17.96 ^ soc/core/_35087_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.25 18.21 clock uncertainty - -5.81 12.40 clock reconvergence pessimism - -0.04 12.36 library hold time - 12.36 data required time ------------------------------------------------------------------------------ - 12.36 data required time - -12.16 data arrival time ------------------------------------------------------------------------------ - -0.20 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9036_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.05 0.48 8.97 ^ clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.05 0.00 8.98 ^ clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.02 0.03 9.01 v clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.02 0.00 9.01 v clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.05 0.13 9.14 v clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.06 0.01 9.15 v housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.08 0.15 9.30 v housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.28 0.12 9.42 v housekeeping/_6146_/A (sky130_fd_sc_hd__inv_2) - 0.09 0.14 9.56 ^ housekeeping/_6146_/Y (sky130_fd_sc_hd__inv_2) - 4 0.01 housekeeping/_1931_ (net) - 0.09 0.00 9.56 ^ housekeeping/_6147_/A (sky130_fd_sc_hd__or2_1) - 0.13 0.16 9.73 ^ housekeeping/_6147_/X (sky130_fd_sc_hd__or2_1) - 1 0.01 housekeeping/_1932_ (net) - 0.13 0.00 9.73 ^ housekeeping/_6148_/A (sky130_fd_sc_hd__clkbuf_4) - 0.12 0.21 9.94 ^ housekeeping/_6148_/X (sky130_fd_sc_hd__clkbuf_4) - 9 0.04 housekeeping/_1933_ (net) - 0.12 0.00 9.94 ^ housekeeping/_6948_/A2 (sky130_fd_sc_hd__o22a_1) - 0.04 0.13 10.07 ^ housekeeping/_6948_/X (sky130_fd_sc_hd__o22a_1) - 1 0.00 housekeeping/_0286_ (net) - 0.04 0.00 10.07 ^ housekeeping/_9036_/D (sky130_fd_sc_hd__dfxtp_1) - 10.07 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.43 0.41 15.76 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) - 0.43 0.01 15.78 ^ housekeeping/_9036_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.25 16.03 clock uncertainty - -5.78 10.25 clock reconvergence pessimism - 0.02 10.27 library hold time - 10.27 data required time ------------------------------------------------------------------------------ - 10.27 data required time - -10.07 data arrival time ------------------------------------------------------------------------------ - -0.20 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9034_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.05 0.48 8.97 ^ clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.05 0.00 8.98 ^ clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.02 0.03 9.01 v clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.02 0.00 9.01 v clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.05 0.13 9.14 v clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.06 0.01 9.15 v housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.08 0.15 9.30 v housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.28 0.12 9.42 v housekeeping/_6146_/A (sky130_fd_sc_hd__inv_2) - 0.09 0.14 9.56 ^ housekeeping/_6146_/Y (sky130_fd_sc_hd__inv_2) - 4 0.01 housekeeping/_1931_ (net) - 0.09 0.00 9.56 ^ housekeeping/_6950_/A (sky130_fd_sc_hd__or2_1) - 0.12 0.16 9.72 ^ housekeeping/_6950_/X (sky130_fd_sc_hd__or2_1) - 1 0.01 housekeeping/_2682_ (net) - 0.12 0.00 9.72 ^ housekeeping/_6951_/A (sky130_fd_sc_hd__clkbuf_4) - 0.13 0.22 9.94 ^ housekeeping/_6951_/X (sky130_fd_sc_hd__clkbuf_4) - 9 0.04 housekeeping/_2683_ (net) - 0.13 0.00 9.94 ^ housekeeping/_6954_/A2 (sky130_fd_sc_hd__o22a_1) - 0.04 0.13 10.07 ^ housekeeping/_6954_/X (sky130_fd_sc_hd__o22a_1) - 1 0.00 housekeeping/_0284_ (net) - 0.04 0.00 10.07 ^ housekeeping/_9034_/D (sky130_fd_sc_hd__dfxtp_1) - 10.07 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.43 0.41 15.76 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) - 0.43 0.01 15.77 ^ housekeeping/_9034_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.25 16.02 clock uncertainty - -5.78 10.25 clock reconvergence pessimism - 0.02 10.27 library hold time - 10.27 data required time ------------------------------------------------------------------------------ - 10.27 data required time - -10.07 data arrival time ------------------------------------------------------------------------------ - -0.20 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9033_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.05 0.48 8.97 ^ clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.05 0.00 8.98 ^ clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.02 0.03 9.01 v clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.02 0.00 9.01 v clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.05 0.13 9.14 v clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.06 0.01 9.15 v housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.08 0.15 9.30 v housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.28 0.12 9.42 v housekeeping/_6146_/A (sky130_fd_sc_hd__inv_2) - 0.09 0.14 9.56 ^ housekeeping/_6146_/Y (sky130_fd_sc_hd__inv_2) - 4 0.01 housekeeping/_1931_ (net) - 0.09 0.00 9.56 ^ housekeeping/_6950_/A (sky130_fd_sc_hd__or2_1) - 0.12 0.16 9.72 ^ housekeeping/_6950_/X (sky130_fd_sc_hd__or2_1) - 1 0.01 housekeeping/_2682_ (net) - 0.12 0.00 9.72 ^ housekeeping/_6951_/A (sky130_fd_sc_hd__clkbuf_4) - 0.13 0.22 9.94 ^ housekeeping/_6951_/X (sky130_fd_sc_hd__clkbuf_4) - 9 0.04 housekeeping/_2683_ (net) - 0.13 0.00 9.94 ^ housekeeping/_6955_/A2 (sky130_fd_sc_hd__o22a_1) - 0.04 0.13 10.07 ^ housekeeping/_6955_/X (sky130_fd_sc_hd__o22a_1) - 1 0.00 housekeeping/_0283_ (net) - 0.04 0.00 10.07 ^ housekeeping/_9033_/D (sky130_fd_sc_hd__dfxtp_1) - 10.07 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.43 0.41 15.76 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) - 0.43 0.01 15.77 ^ housekeeping/_9033_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.25 16.02 clock uncertainty - -5.78 10.25 clock reconvergence pessimism - 0.02 10.27 library hold time - 10.27 data required time ------------------------------------------------------------------------------ - 10.27 data required time - -10.07 data arrival time ------------------------------------------------------------------------------ - -0.20 slack (VIOLATED) - - -Startpoint: housekeeping/_9014_ - (rising edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31745_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.14 0.20 9.48 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_2_2_0_wb_clk_i (net) - 0.14 0.00 9.48 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.22 0.25 9.73 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net) - 0.22 0.00 9.73 ^ housekeeping/_9014_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.07 0.36 10.10 ^ housekeeping/_9014_/Q (sky130_fd_sc_hd__dfxtp_1) - 2 0.01 housekeeping/net329 (net) - 0.07 0.00 10.10 ^ housekeeping/output329/A (sky130_fd_sc_hd__buf_2) - 0.06 0.12 10.21 ^ housekeeping/output329/X (sky130_fd_sc_hd__buf_2) - 2 0.01 hk_dat_i[10] (net) - 0.07 0.01 10.22 ^ soc/core/input7/A (sky130_fd_sc_hd__buf_12) - 0.22 0.22 10.44 ^ soc/core/input7/X (sky130_fd_sc_hd__buf_12) - 2 0.19 soc/core/net7 (net) - 0.23 0.02 10.47 ^ soc/core/_23016_/B2 (sky130_fd_sc_hd__a221o_1) - 0.04 0.16 10.63 ^ soc/core/_23016_/X (sky130_fd_sc_hd__a221o_1) - 1 0.00 soc/core/_11309_ (net) - 0.04 0.00 10.63 ^ soc/core/hold1049/A (sky130_fd_sc_hd__clkdlybuf4s50_1) - 0.08 0.47 11.09 ^ soc/core/hold1049/X (sky130_fd_sc_hd__clkdlybuf4s50_1) - 1 0.01 soc/core/net3915 (net) - 0.08 0.00 11.10 ^ soc/core/_23017_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.08 0.15 11.24 ^ soc/core/_23017_/X (sky130_fd_sc_hd__a2111o_4) - 4 0.02 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[10] (net) - 0.08 0.00 11.24 ^ soc/core/hold1047/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.15 0.60 11.84 ^ soc/core/hold1047/X (sky130_fd_sc_hd__dlygate4sd3_1) - 1 0.01 soc/core/net3914 (net) - 0.15 0.00 11.84 ^ soc/core/hold1048/A (sky130_fd_sc_hd__clkbuf_4) - 0.15 0.24 12.08 ^ soc/core/hold1048/X (sky130_fd_sc_hd__clkbuf_4) - 6 0.05 soc/core/net3913 (net) - 0.15 0.01 12.09 ^ soc/core/_31745_/D (sky130_fd_sc_hd__dfxtp_1) - 12.09 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 14.82 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 14.96 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.05 0.00 14.96 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 15.11 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.05 0.00 15.11 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 15.26 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.05 0.00 15.26 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.12 15.38 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.03 0.00 15.38 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.24 0.27 15.65 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.25 0.03 15.67 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 15.86 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_0_0_core_clk (net) - 0.03 0.00 15.86 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.52 0.45 16.31 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.18 soc/core/clknet_2_0_1_core_clk (net) - 0.54 0.07 16.38 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.25 16.64 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_3_1_0_core_clk (net) - 0.04 0.00 16.64 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.12 16.75 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_3_1_1_core_clk (net) - 0.03 0.00 16.75 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.16 16.91 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.02 soc/core/clknet_3_1_2_core_clk (net) - 0.08 0.00 16.91 ^ soc/core/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 17.10 ^ soc/core/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_3_0_core_clk (net) - 0.09 0.00 17.10 ^ soc/core/clkbuf_5_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.14 17.25 ^ soc/core/clkbuf_5_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_6_0_core_clk (net) - 0.04 0.00 17.25 ^ soc/core/clkbuf_5_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.36 0.37 17.61 ^ soc/core/clkbuf_5_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 16 0.12 soc/core/clknet_5_6_1_core_clk (net) - 0.36 0.01 17.63 ^ soc/core/clkbuf_leaf_13_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.08 0.26 17.88 ^ soc/core/clkbuf_leaf_13_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 22 0.06 soc/core/clknet_leaf_13_core_clk (net) - 0.08 0.00 17.89 ^ soc/core/_31745_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.25 18.14 clock uncertainty - -5.81 12.33 clock reconvergence pessimism - -0.05 12.28 library hold time - 12.28 data required time ------------------------------------------------------------------------------ - 12.28 data required time - -12.09 data arrival time ------------------------------------------------------------------------------ - -0.19 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9041_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.05 0.48 8.97 ^ clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.05 0.00 8.98 ^ clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.02 0.03 9.01 v clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.02 0.00 9.01 v clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.05 0.13 9.14 v clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.06 0.01 9.15 v housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.08 0.15 9.30 v housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.28 0.12 9.42 v housekeeping/_6146_/A (sky130_fd_sc_hd__inv_2) - 0.09 0.14 9.56 ^ housekeeping/_6146_/Y (sky130_fd_sc_hd__inv_2) - 4 0.01 housekeeping/_1931_ (net) - 0.09 0.00 9.56 ^ housekeeping/_6147_/A (sky130_fd_sc_hd__or2_1) - 0.13 0.16 9.73 ^ housekeeping/_6147_/X (sky130_fd_sc_hd__or2_1) - 1 0.01 housekeeping/_1932_ (net) - 0.13 0.00 9.73 ^ housekeeping/_6148_/A (sky130_fd_sc_hd__clkbuf_4) - 0.12 0.21 9.94 ^ housekeeping/_6148_/X (sky130_fd_sc_hd__clkbuf_4) - 9 0.04 housekeeping/_1933_ (net) - 0.12 0.00 9.94 ^ housekeeping/_6327_/A1 (sky130_fd_sc_hd__o22a_1) - 0.04 0.14 10.08 ^ housekeeping/_6327_/X (sky130_fd_sc_hd__o22a_1) - 1 0.00 housekeeping/_0291_ (net) - 0.04 0.00 10.08 ^ housekeeping/_9041_/D (sky130_fd_sc_hd__dfxtp_1) - 10.08 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.43 0.41 15.76 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) - 0.43 0.01 15.78 ^ housekeeping/_9041_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.25 16.03 clock uncertainty - -5.78 10.25 clock reconvergence pessimism - 0.02 10.27 library hold time - 10.27 data required time ------------------------------------------------------------------------------ - 10.27 data required time - -10.08 data arrival time ------------------------------------------------------------------------------ - -0.19 slack (VIOLATED) - - -Startpoint: housekeeping/_9015_ - (rising edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31746_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.14 0.20 9.48 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_2_2_0_wb_clk_i (net) - 0.14 0.00 9.48 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.28 0.29 9.77 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 12 0.05 housekeeping/clknet_3_5_0_wb_clk_i (net) - 0.28 0.00 9.77 ^ housekeeping/_9015_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.08 0.39 10.16 ^ housekeeping/_9015_/Q (sky130_fd_sc_hd__dfxtp_1) - 2 0.01 housekeeping/net330 (net) - 0.08 0.00 10.16 ^ housekeeping/output330/A (sky130_fd_sc_hd__buf_2) - 0.06 0.12 10.28 ^ housekeeping/output330/X (sky130_fd_sc_hd__buf_2) - 2 0.01 hk_dat_i[11] (net) - 0.06 0.01 10.29 ^ soc/core/input8/A (sky130_fd_sc_hd__clkbuf_16) - 0.15 0.18 10.47 ^ soc/core/input8/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.15 soc/core/net8 (net) - 0.20 0.06 10.53 ^ soc/core/_23008_/B2 (sky130_fd_sc_hd__a221o_4) - 0.03 0.17 10.70 ^ soc/core/_23008_/X (sky130_fd_sc_hd__a221o_4) - 1 0.00 soc/core/_11303_ (net) - 0.03 0.00 10.70 ^ soc/core/hold965/A (sky130_fd_sc_hd__clkbuf_4) - 0.17 0.20 10.91 ^ soc/core/hold965/X (sky130_fd_sc_hd__clkbuf_4) - 2 0.05 soc/core/net3831 (net) - 0.17 0.01 10.91 ^ soc/core/_23009_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.10 0.19 11.10 ^ soc/core/_23009_/X (sky130_fd_sc_hd__a2111o_4) - 4 0.03 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[11] (net) - 0.10 0.00 11.10 ^ soc/core/hold963/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.05 0.52 11.62 ^ soc/core/hold963/X (sky130_fd_sc_hd__dlygate4sd3_1) - 1 0.00 soc/core/net3830 (net) - 0.05 0.00 11.62 ^ soc/core/hold1776/A (sky130_fd_sc_hd__dlymetal6s2s_1) - 0.20 0.19 11.80 ^ soc/core/hold1776/X (sky130_fd_sc_hd__dlymetal6s2s_1) - 1 0.02 soc/core/net4642 (net) - 0.20 0.00 11.81 ^ soc/core/hold964/A (sky130_fd_sc_hd__buf_4) - 0.21 0.26 12.07 ^ soc/core/hold964/X (sky130_fd_sc_hd__buf_4) - 6 0.07 soc/core/net3829 (net) - 0.21 0.01 12.08 ^ soc/core/_31746_/D (sky130_fd_sc_hd__dfxtp_1) - 12.08 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 14.82 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 14.96 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.05 0.00 14.96 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 15.11 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.05 0.00 15.11 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 15.26 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.05 0.00 15.26 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.12 15.38 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.03 0.00 15.38 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.24 0.27 15.65 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.25 0.03 15.67 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 15.86 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_0_0_core_clk (net) - 0.03 0.00 15.86 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.52 0.45 16.31 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.18 soc/core/clknet_2_0_1_core_clk (net) - 0.54 0.07 16.38 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.25 16.64 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_3_1_0_core_clk (net) - 0.04 0.00 16.64 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.12 16.75 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_3_1_1_core_clk (net) - 0.03 0.00 16.75 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.16 16.91 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.02 soc/core/clknet_3_1_2_core_clk (net) - 0.08 0.00 16.91 ^ soc/core/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 17.10 ^ soc/core/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_3_0_core_clk (net) - 0.09 0.00 17.10 ^ soc/core/clkbuf_5_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.14 17.25 ^ soc/core/clkbuf_5_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_6_0_core_clk (net) - 0.04 0.00 17.25 ^ soc/core/clkbuf_5_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.36 0.37 17.61 ^ soc/core/clkbuf_5_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 16 0.12 soc/core/clknet_5_6_1_core_clk (net) - 0.36 0.01 17.63 ^ soc/core/clkbuf_leaf_13_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.08 0.26 17.88 ^ soc/core/clkbuf_leaf_13_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 22 0.06 soc/core/clknet_leaf_13_core_clk (net) - 0.08 0.00 17.89 ^ soc/core/_31746_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.25 18.14 clock uncertainty - -5.81 12.33 clock reconvergence pessimism - -0.06 12.27 library hold time - 12.27 data required time ------------------------------------------------------------------------------ - 12.27 data required time - -12.08 data arrival time ------------------------------------------------------------------------------ - -0.19 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9038_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.05 0.48 8.97 ^ clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.05 0.00 8.98 ^ clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.02 0.03 9.01 v clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.02 0.00 9.01 v clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.05 0.13 9.14 v clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.06 0.01 9.15 v housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.08 0.15 9.30 v housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.28 0.12 9.42 v housekeeping/_6146_/A (sky130_fd_sc_hd__inv_2) - 0.09 0.14 9.56 ^ housekeeping/_6146_/Y (sky130_fd_sc_hd__inv_2) - 4 0.01 housekeeping/_1931_ (net) - 0.09 0.00 9.56 ^ housekeeping/_6147_/A (sky130_fd_sc_hd__or2_1) - 0.13 0.16 9.73 ^ housekeeping/_6147_/X (sky130_fd_sc_hd__or2_1) - 1 0.01 housekeeping/_1932_ (net) - 0.13 0.00 9.73 ^ housekeeping/_6148_/A (sky130_fd_sc_hd__clkbuf_4) - 0.12 0.21 9.94 ^ housekeeping/_6148_/X (sky130_fd_sc_hd__clkbuf_4) - 9 0.04 housekeeping/_1933_ (net) - 0.12 0.00 9.94 ^ housekeeping/_6786_/A1 (sky130_fd_sc_hd__o22a_1) - 0.04 0.14 10.08 ^ housekeeping/_6786_/X (sky130_fd_sc_hd__o22a_1) - 1 0.00 housekeeping/_0288_ (net) - 0.04 0.00 10.08 ^ housekeeping/_9038_/D (sky130_fd_sc_hd__dfxtp_1) - 10.08 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.43 0.41 15.76 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) - 0.43 0.01 15.77 ^ housekeeping/_9038_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.25 16.02 clock uncertainty - -5.78 10.25 clock reconvergence pessimism - 0.02 10.27 library hold time - 10.27 data required time ------------------------------------------------------------------------------ - 10.27 data required time - -10.08 data arrival time ------------------------------------------------------------------------------ - -0.19 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9037_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.05 0.48 8.97 ^ clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.05 0.00 8.98 ^ clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.02 0.03 9.01 v clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.02 0.00 9.01 v clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.05 0.13 9.14 v clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.06 0.01 9.15 v housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.08 0.15 9.30 v housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.28 0.12 9.42 v housekeeping/_6146_/A (sky130_fd_sc_hd__inv_2) - 0.09 0.14 9.56 ^ housekeeping/_6146_/Y (sky130_fd_sc_hd__inv_2) - 4 0.01 housekeeping/_1931_ (net) - 0.09 0.00 9.56 ^ housekeeping/_6147_/A (sky130_fd_sc_hd__or2_1) - 0.13 0.16 9.73 ^ housekeeping/_6147_/X (sky130_fd_sc_hd__or2_1) - 1 0.01 housekeeping/_1932_ (net) - 0.13 0.00 9.73 ^ housekeeping/_6148_/A (sky130_fd_sc_hd__clkbuf_4) - 0.12 0.21 9.94 ^ housekeeping/_6148_/X (sky130_fd_sc_hd__clkbuf_4) - 9 0.04 housekeeping/_1933_ (net) - 0.12 0.00 9.94 ^ housekeeping/_6947_/A1 (sky130_fd_sc_hd__o22a_1) - 0.04 0.14 10.08 ^ housekeeping/_6947_/X (sky130_fd_sc_hd__o22a_1) - 1 0.00 housekeeping/_0287_ (net) - 0.04 0.00 10.08 ^ housekeeping/_9037_/D (sky130_fd_sc_hd__dfxtp_1) - 10.08 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.43 0.41 15.76 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) - 0.43 0.01 15.77 ^ housekeeping/_9037_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.25 16.02 clock uncertainty - -5.78 10.25 clock reconvergence pessimism - 0.02 10.27 library hold time - 10.27 data required time ------------------------------------------------------------------------------ - 10.27 data required time - -10.08 data arrival time ------------------------------------------------------------------------------ - -0.19 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9040_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.05 0.48 8.97 ^ clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.05 0.00 8.98 ^ clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.02 0.03 9.01 v clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.02 0.00 9.01 v clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.05 0.13 9.14 v clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.06 0.01 9.15 v housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.08 0.15 9.30 v housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.28 0.12 9.42 v housekeeping/_6146_/A (sky130_fd_sc_hd__inv_2) - 0.09 0.14 9.56 ^ housekeeping/_6146_/Y (sky130_fd_sc_hd__inv_2) - 4 0.01 housekeeping/_1931_ (net) - 0.09 0.00 9.56 ^ housekeeping/_6147_/A (sky130_fd_sc_hd__or2_1) - 0.13 0.16 9.73 ^ housekeeping/_6147_/X (sky130_fd_sc_hd__or2_1) - 1 0.01 housekeeping/_1932_ (net) - 0.13 0.00 9.73 ^ housekeeping/_6148_/A (sky130_fd_sc_hd__clkbuf_4) - 0.12 0.21 9.94 ^ housekeeping/_6148_/X (sky130_fd_sc_hd__clkbuf_4) - 9 0.04 housekeeping/_1933_ (net) - 0.12 0.00 9.94 ^ housekeeping/_6476_/A1 (sky130_fd_sc_hd__o22a_2) - 0.03 0.15 10.09 ^ housekeeping/_6476_/X (sky130_fd_sc_hd__o22a_2) - 1 0.00 housekeeping/_0290_ (net) - 0.03 0.00 10.09 ^ housekeeping/_9040_/D (sky130_fd_sc_hd__dfxtp_1) - 10.09 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.43 0.41 15.76 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) - 0.43 0.01 15.78 ^ housekeeping/_9040_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.25 16.03 clock uncertainty - -5.78 10.25 clock reconvergence pessimism - 0.02 10.27 library hold time - 10.27 data required time ------------------------------------------------------------------------------ - 10.27 data required time - -10.09 data arrival time ------------------------------------------------------------------------------ - -0.19 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9042_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.05 0.48 8.97 ^ clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.05 0.00 8.98 ^ clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.02 0.03 9.01 v clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.02 0.00 9.01 v clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.05 0.13 9.14 v clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.06 0.01 9.15 v housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.08 0.15 9.30 v housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.28 0.12 9.42 v housekeeping/_6146_/A (sky130_fd_sc_hd__inv_2) - 0.09 0.14 9.56 ^ housekeeping/_6146_/Y (sky130_fd_sc_hd__inv_2) - 4 0.01 housekeeping/_1931_ (net) - 0.09 0.00 9.56 ^ housekeeping/_6147_/A (sky130_fd_sc_hd__or2_1) - 0.13 0.16 9.73 ^ housekeeping/_6147_/X (sky130_fd_sc_hd__or2_1) - 1 0.01 housekeeping/_1932_ (net) - 0.13 0.00 9.73 ^ housekeeping/_6148_/A (sky130_fd_sc_hd__clkbuf_4) - 0.12 0.21 9.94 ^ housekeeping/_6148_/X (sky130_fd_sc_hd__clkbuf_4) - 9 0.04 housekeeping/_1933_ (net) - 0.12 0.00 9.94 ^ housekeeping/_6238_/A1 (sky130_fd_sc_hd__o22a_1) - 0.04 0.15 10.09 ^ housekeeping/_6238_/X (sky130_fd_sc_hd__o22a_1) - 1 0.00 housekeeping/_0292_ (net) - 0.04 0.00 10.09 ^ housekeeping/_9042_/D (sky130_fd_sc_hd__dfxtp_1) - 10.09 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.43 0.41 15.76 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) - 0.43 0.01 15.78 ^ housekeeping/_9042_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.25 16.03 clock uncertainty - -5.78 10.25 clock reconvergence pessimism - 0.02 10.27 library hold time - 10.27 data required time ------------------------------------------------------------------------------ - 10.27 data required time - -10.09 data arrival time ------------------------------------------------------------------------------ - -0.18 slack (VIOLATED) - - -Startpoint: housekeeping/_9119_ - (rising edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_35091_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.19 9.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 9.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.54 0.45 9.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) - 0.54 0.00 9.92 ^ housekeeping/_9119_/CLK (sky130_fd_sc_hd__dfrtp_4) - 0.16 0.58 10.51 ^ housekeeping/_9119_/Q (sky130_fd_sc_hd__dfrtp_4) - 2 0.05 housekeeping/net327 (net) - 0.16 0.01 10.51 ^ housekeeping/output327/A (sky130_fd_sc_hd__buf_2) - 0.04 0.13 10.65 ^ housekeeping/output327/X (sky130_fd_sc_hd__buf_2) - 2 0.01 hk_ack_i (net) - 0.04 0.01 10.65 ^ soc/core/input5/A (sky130_fd_sc_hd__buf_6) - 0.03 0.08 10.73 ^ soc/core/input5/X (sky130_fd_sc_hd__buf_6) - 1 0.01 soc/core/net5 (net) - 0.03 0.00 10.73 ^ soc/core/hold2048/A (sky130_fd_sc_hd__buf_6) - 0.15 0.15 10.88 ^ soc/core/hold2048/X (sky130_fd_sc_hd__buf_6) - 2 0.07 soc/core/net4914 (net) - 0.16 0.02 10.90 ^ soc/core/_15303_/B (sky130_fd_sc_hd__nor2_1) - 0.04 0.05 10.96 v soc/core/_15303_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 soc/core/_14967_ (net) - 0.04 0.00 10.96 v soc/core/hold1126/A (sky130_fd_sc_hd__clkbuf_1) - 0.09 0.13 11.08 v soc/core/hold1126/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.01 soc/core/net3992 (net) - 0.09 0.00 11.09 v soc/core/_15306_/A (sky130_fd_sc_hd__and3_1) - 0.06 0.18 11.27 v soc/core/_15306_/X (sky130_fd_sc_hd__and3_1) - 1 0.01 soc/core/_14970_ (net) - 0.06 0.00 11.27 v soc/core/_15307_/B1 (sky130_fd_sc_hd__o21a_2) - 0.07 0.15 11.42 v soc/core/_15307_/X (sky130_fd_sc_hd__o21a_2) - 1 0.02 soc/core/_14971_ (net) - 0.07 0.00 11.42 v soc/core/_15308_/B (sky130_fd_sc_hd__nand2_8) - 0.14 0.13 11.56 ^ soc/core/_15308_/Y (sky130_fd_sc_hd__nand2_8) - 10 0.07 soc/core/_14972_ (net) - 0.14 0.00 11.56 ^ soc/core/_15391_/A (sky130_fd_sc_hd__clkinv_2) - 0.11 0.13 11.69 v soc/core/_15391_/Y (sky130_fd_sc_hd__clkinv_2) - 4 0.03 soc/core/_06316_ (net) - 0.11 0.00 11.69 v soc/core/_15519_/A (sky130_fd_sc_hd__and3_1) - 0.03 0.16 11.84 v soc/core/_15519_/X (sky130_fd_sc_hd__and3_1) - 1 0.00 soc/core/_06405_ (net) - 0.03 0.00 11.84 v soc/core/_15520_/A (sky130_fd_sc_hd__clkbuf_4) - 0.12 0.20 12.04 v soc/core/_15520_/X (sky130_fd_sc_hd__clkbuf_4) - 16 0.06 soc/core/_06406_ (net) - 0.12 0.00 12.04 v soc/core/_15535_/B1 (sky130_fd_sc_hd__o21a_1) - 0.03 0.12 12.16 v soc/core/_15535_/X (sky130_fd_sc_hd__o21a_1) - 1 0.00 soc/core/_06265_ (net) - 0.03 0.00 12.16 v soc/core/_35091_/D (sky130_fd_sc_hd__dfxtp_1) - 12.16 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 14.82 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 14.96 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 14.96 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 15.10 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 15.10 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 15.24 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 15.24 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 15.39 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 15.39 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.25 15.64 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 15.65 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.19 15.84 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_3_0_core_clk (net) - 0.04 0.00 15.84 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.15 0.22 16.06 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_3_1_core_clk (net) - 0.15 0.00 16.06 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.18 16.24 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_7_0_core_clk (net) - 0.05 0.00 16.24 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.13 16.38 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_7_1_core_clk (net) - 0.04 0.00 16.38 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.21 16.58 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_7_2_core_clk (net) - 0.14 0.01 16.59 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.22 16.81 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_14_0_core_clk (net) - 0.10 0.00 16.81 ^ soc/core/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 16.97 ^ soc/core/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_28_0_core_clk (net) - 0.04 0.00 16.97 ^ soc/core/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.74 0.64 17.61 ^ soc/core/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 32 0.25 soc/core/clknet_5_28_1_core_clk (net) - 0.74 0.02 17.63 ^ soc/core/clkbuf_leaf_192_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.08 0.31 17.94 ^ soc/core/clkbuf_leaf_192_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 11 0.05 soc/core/clknet_leaf_192_core_clk (net) - 0.08 0.00 17.94 ^ soc/core/_35091_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.25 18.19 clock uncertainty - -5.81 12.38 clock reconvergence pessimism - -0.04 12.35 library hold time - 12.35 data required time ------------------------------------------------------------------------------ - 12.35 data required time - -12.16 data arrival time ------------------------------------------------------------------------------ - -0.18 slack (VIOLATED) - - -Startpoint: housekeeping/_9119_ - (rising edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_34041_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.19 9.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 9.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.54 0.45 9.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) - 0.54 0.00 9.92 ^ housekeeping/_9119_/CLK (sky130_fd_sc_hd__dfrtp_4) - 0.16 0.58 10.51 ^ housekeeping/_9119_/Q (sky130_fd_sc_hd__dfrtp_4) - 2 0.05 housekeeping/net327 (net) - 0.16 0.01 10.51 ^ housekeeping/output327/A (sky130_fd_sc_hd__buf_2) - 0.04 0.13 10.65 ^ housekeeping/output327/X (sky130_fd_sc_hd__buf_2) - 2 0.01 hk_ack_i (net) - 0.04 0.01 10.65 ^ soc/core/input5/A (sky130_fd_sc_hd__buf_6) - 0.03 0.08 10.73 ^ soc/core/input5/X (sky130_fd_sc_hd__buf_6) - 1 0.01 soc/core/net5 (net) - 0.03 0.00 10.73 ^ soc/core/hold2048/A (sky130_fd_sc_hd__buf_6) - 0.15 0.15 10.88 ^ soc/core/hold2048/X (sky130_fd_sc_hd__buf_6) - 2 0.07 soc/core/net4914 (net) - 0.16 0.02 10.90 ^ soc/core/_15303_/B (sky130_fd_sc_hd__nor2_1) - 0.04 0.05 10.96 v soc/core/_15303_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 soc/core/_14967_ (net) - 0.04 0.00 10.96 v soc/core/hold1126/A (sky130_fd_sc_hd__clkbuf_1) - 0.09 0.13 11.08 v soc/core/hold1126/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.01 soc/core/net3992 (net) - 0.09 0.00 11.09 v soc/core/_15306_/A (sky130_fd_sc_hd__and3_1) - 0.06 0.18 11.27 v soc/core/_15306_/X (sky130_fd_sc_hd__and3_1) - 1 0.01 soc/core/_14970_ (net) - 0.06 0.00 11.27 v soc/core/_15307_/B1 (sky130_fd_sc_hd__o21a_2) - 0.07 0.15 11.42 v soc/core/_15307_/X (sky130_fd_sc_hd__o21a_2) - 1 0.02 soc/core/_14971_ (net) - 0.07 0.00 11.42 v soc/core/_15308_/B (sky130_fd_sc_hd__nand2_8) - 0.14 0.13 11.56 ^ soc/core/_15308_/Y (sky130_fd_sc_hd__nand2_8) - 10 0.07 soc/core/_14972_ (net) - 0.14 0.01 11.57 ^ soc/core/_15313_/A (sky130_fd_sc_hd__nand2_8) - 0.11 0.11 11.68 v soc/core/_15313_/Y (sky130_fd_sc_hd__nand2_8) - 6 0.07 soc/core/_14977_ (net) - 0.11 0.01 11.69 v soc/core/_15315_/A (sky130_fd_sc_hd__nand2_2) - 0.04 0.07 11.76 ^ soc/core/_15315_/Y (sky130_fd_sc_hd__nand2_2) - 1 0.00 soc/core/_14979_ (net) - 0.04 0.00 11.76 ^ soc/core/hold2047/A (sky130_fd_sc_hd__clkbuf_2) - 0.12 0.15 11.91 ^ soc/core/hold2047/X (sky130_fd_sc_hd__clkbuf_2) - 4 0.02 soc/core/net4913 (net) - 0.12 0.00 11.91 ^ soc/core/_19216_/A (sky130_fd_sc_hd__nand2_2) - 0.08 0.10 12.01 v soc/core/_19216_/Y (sky130_fd_sc_hd__nand2_2) - 2 0.02 soc/core/_08941_ (net) - 0.08 0.00 12.01 v soc/core/_19217_/B1 (sky130_fd_sc_hd__a31oi_4) - 0.20 0.23 12.24 ^ soc/core/_19217_/Y (sky130_fd_sc_hd__a31oi_4) - 3 0.02 soc/core/_08942_ (net) - 0.20 0.00 12.24 ^ soc/core/_19225_/A2 (sky130_fd_sc_hd__o22ai_1) - 0.05 0.10 12.34 v soc/core/_19225_/Y (sky130_fd_sc_hd__o22ai_1) - 1 0.00 soc/core/_08950_ (net) - 0.05 0.00 12.34 v soc/core/_19229_/A (sky130_fd_sc_hd__nand2_1) - 0.04 0.06 12.39 ^ soc/core/_19229_/Y (sky130_fd_sc_hd__nand2_1) - 1 0.00 soc/core/_08954_ (net) - 0.04 0.00 12.40 ^ soc/core/_19231_/A1 (sky130_fd_sc_hd__a21oi_1) - 0.07 0.08 12.47 v soc/core/_19231_/Y (sky130_fd_sc_hd__a21oi_1) - 1 0.01 soc/core/_05215_ (net) - 0.07 0.00 12.47 v soc/core/_34041_/D (sky130_fd_sc_hd__dfxtp_1) - 12.47 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 14.82 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 14.96 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 14.96 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 15.10 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 15.10 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 15.24 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 15.24 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 15.39 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 15.39 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.25 15.64 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 15.65 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.19 15.84 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_3_0_core_clk (net) - 0.04 0.00 15.84 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.15 0.22 16.06 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_3_1_core_clk (net) - 0.15 0.00 16.06 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.18 16.25 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_6_0_core_clk (net) - 0.05 0.00 16.25 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.13 16.38 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_6_1_core_clk (net) - 0.04 0.00 16.38 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.13 0.20 16.58 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_6_2_core_clk (net) - 0.13 0.00 16.59 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.22 16.80 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_12_0_core_clk (net) - 0.10 0.00 16.80 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.16 16.96 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_25_0_core_clk (net) - 0.04 0.00 16.96 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.84 0.72 17.68 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 36 0.29 soc/core/clknet_5_25_1_core_clk (net) - 0.84 0.02 17.70 ^ soc/core/clkbuf_opt_42_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.06 0.29 17.99 ^ soc/core/clkbuf_opt_42_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_42_0_core_clk (net) - 0.06 0.00 17.99 ^ soc/core/clkbuf_opt_42_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.13 18.12 ^ soc/core/clkbuf_opt_42_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_42_1_core_clk (net) - 0.04 0.00 18.12 ^ soc/core/clkbuf_leaf_234_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.06 0.14 18.27 ^ soc/core/clkbuf_leaf_234_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 9 0.04 soc/core/clknet_leaf_234_core_clk (net) - 0.06 0.00 18.27 ^ soc/core/_34041_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.25 18.52 clock uncertainty - -5.81 12.71 clock reconvergence pessimism - -0.06 12.65 library hold time - 12.65 data required time ------------------------------------------------------------------------------ - 12.65 data required time - -12.47 data arrival time ------------------------------------------------------------------------------ - -0.18 slack (VIOLATED) - - -Startpoint: housekeeping/_9027_ - (rising edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31742_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.14 0.20 9.48 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_2_2_0_wb_clk_i (net) - 0.14 0.00 9.48 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.22 0.25 9.73 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net) - 0.22 0.00 9.73 ^ housekeeping/_9027_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.08 0.37 10.10 ^ housekeeping/_9027_/Q (sky130_fd_sc_hd__dfxtp_1) - 2 0.01 housekeeping/net357 (net) - 0.08 0.00 10.10 ^ housekeeping/output357/A (sky130_fd_sc_hd__buf_2) - 0.03 0.10 10.20 ^ housekeeping/output357/X (sky130_fd_sc_hd__buf_2) - 2 0.00 hk_dat_i[7] (net) - 0.03 0.00 10.20 ^ soc/core/hold1250/A (sky130_fd_sc_hd__clkdlybuf4s50_1) - 0.10 0.48 10.68 ^ soc/core/hold1250/X (sky130_fd_sc_hd__clkdlybuf4s50_1) - 1 0.01 soc/core/net4116 (net) - 0.10 0.00 10.68 ^ soc/core/input35/A (sky130_fd_sc_hd__buf_8) - 0.03 0.10 10.78 ^ soc/core/input35/X (sky130_fd_sc_hd__buf_8) - 1 0.01 soc/core/net35 (net) - 0.03 0.00 10.78 ^ soc/core/hold1249/A (sky130_fd_sc_hd__buf_8) - 0.03 0.07 10.85 ^ soc/core/hold1249/X (sky130_fd_sc_hd__buf_8) - 1 0.01 soc/core/net4115 (net) - 0.03 0.00 10.85 ^ soc/core/hold2217/A (sky130_fd_sc_hd__buf_8) - 0.24 0.18 11.04 ^ soc/core/hold2217/X (sky130_fd_sc_hd__buf_8) - 2 0.15 soc/core/net5083 (net) - 0.27 0.07 11.10 ^ soc/core/_23041_/B2 (sky130_fd_sc_hd__a22o_1) - 0.09 0.20 11.31 ^ soc/core/_23041_/X (sky130_fd_sc_hd__a22o_1) - 1 0.01 soc/core/_11328_ (net) - 0.09 0.00 11.31 ^ soc/core/_23042_/C1 (sky130_fd_sc_hd__a211o_2) - 0.03 0.10 11.40 ^ soc/core/_23042_/X (sky130_fd_sc_hd__a211o_2) - 1 0.00 soc/core/_11329_ (net) - 0.03 0.00 11.40 ^ soc/core/hold866/A (sky130_fd_sc_hd__clkbuf_2) - 0.16 0.17 11.58 ^ soc/core/hold866/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 soc/core/net3732 (net) - 0.16 0.00 11.58 ^ soc/core/_23043_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.03 0.13 11.70 ^ soc/core/_23043_/X (sky130_fd_sc_hd__a2111o_4) - 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[7] (net) - 0.03 0.00 11.70 ^ soc/core/hold864/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.19 0.61 12.31 ^ soc/core/hold864/X (sky130_fd_sc_hd__dlygate4sd3_1) - 1 0.02 soc/core/net3731 (net) - 0.19 0.00 12.32 ^ soc/core/hold865/A (sky130_fd_sc_hd__buf_6) - 0.16 0.21 12.53 ^ soc/core/hold865/X (sky130_fd_sc_hd__buf_6) - 8 0.08 soc/core/net3730 (net) - 0.17 0.02 12.54 ^ soc/core/_31742_/D (sky130_fd_sc_hd__dfxtp_1) - 12.54 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 14.82 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 14.96 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.05 0.00 14.96 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 15.11 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.05 0.00 15.11 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 15.26 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.05 0.00 15.26 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.12 15.38 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.03 0.00 15.38 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.24 0.27 15.65 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.25 0.03 15.67 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 15.86 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_0_0_core_clk (net) - 0.03 0.00 15.86 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.52 0.45 16.31 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.18 soc/core/clknet_2_0_1_core_clk (net) - 0.54 0.07 16.38 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.25 16.64 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_3_1_0_core_clk (net) - 0.04 0.00 16.64 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.12 16.75 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_3_1_1_core_clk (net) - 0.03 0.00 16.75 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.16 16.91 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.02 soc/core/clknet_3_1_2_core_clk (net) - 0.08 0.00 16.91 ^ soc/core/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 17.10 ^ soc/core/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_3_0_core_clk (net) - 0.09 0.00 17.10 ^ soc/core/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 17.25 ^ soc/core/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_7_0_core_clk (net) - 0.04 0.00 17.25 ^ soc/core/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.52 0.48 17.73 ^ soc/core/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 24 0.18 soc/core/clknet_5_7_1_core_clk (net) - 0.52 0.02 17.75 ^ soc/core/clkbuf_opt_18_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.25 18.00 ^ soc/core/clkbuf_opt_18_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_18_0_core_clk (net) - 0.05 0.00 18.00 ^ soc/core/clkbuf_opt_18_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.13 18.13 ^ soc/core/clkbuf_opt_18_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_18_1_core_clk (net) - 0.04 0.00 18.13 ^ soc/core/clkbuf_leaf_108_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.11 0.19 18.32 ^ soc/core/clkbuf_leaf_108_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 34 0.09 soc/core/clknet_leaf_108_core_clk (net) - 0.11 0.01 18.33 ^ soc/core/_31742_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.25 18.58 clock uncertainty - -5.81 12.77 clock reconvergence pessimism - -0.05 12.72 library hold time - 12.72 data required time ------------------------------------------------------------------------------ - 12.72 data required time - -12.54 data arrival time ------------------------------------------------------------------------------ - -0.18 slack (VIOLATED) - - -Startpoint: housekeeping/_9119_ - (rising edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_35131_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.19 9.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 9.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.54 0.45 9.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) - 0.54 0.00 9.92 ^ housekeeping/_9119_/CLK (sky130_fd_sc_hd__dfrtp_4) - 0.16 0.58 10.51 ^ housekeeping/_9119_/Q (sky130_fd_sc_hd__dfrtp_4) - 2 0.05 housekeeping/net327 (net) - 0.16 0.01 10.51 ^ housekeeping/output327/A (sky130_fd_sc_hd__buf_2) - 0.04 0.13 10.65 ^ housekeeping/output327/X (sky130_fd_sc_hd__buf_2) - 2 0.01 hk_ack_i (net) - 0.04 0.01 10.65 ^ soc/core/input5/A (sky130_fd_sc_hd__buf_6) - 0.03 0.08 10.73 ^ soc/core/input5/X (sky130_fd_sc_hd__buf_6) - 1 0.01 soc/core/net5 (net) - 0.03 0.00 10.73 ^ soc/core/hold2048/A (sky130_fd_sc_hd__buf_6) - 0.15 0.15 10.88 ^ soc/core/hold2048/X (sky130_fd_sc_hd__buf_6) - 2 0.07 soc/core/net4914 (net) - 0.16 0.02 10.90 ^ soc/core/_15303_/B (sky130_fd_sc_hd__nor2_1) - 0.04 0.05 10.96 v soc/core/_15303_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 soc/core/_14967_ (net) - 0.04 0.00 10.96 v soc/core/hold1126/A (sky130_fd_sc_hd__clkbuf_1) - 0.09 0.13 11.08 v soc/core/hold1126/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.01 soc/core/net3992 (net) - 0.09 0.00 11.09 v soc/core/_15306_/A (sky130_fd_sc_hd__and3_1) - 0.06 0.18 11.27 v soc/core/_15306_/X (sky130_fd_sc_hd__and3_1) - 1 0.01 soc/core/_14970_ (net) - 0.06 0.00 11.27 v soc/core/_15307_/B1 (sky130_fd_sc_hd__o21a_2) - 0.07 0.15 11.42 v soc/core/_15307_/X (sky130_fd_sc_hd__o21a_2) - 1 0.02 soc/core/_14971_ (net) - 0.07 0.00 11.42 v soc/core/_15308_/B (sky130_fd_sc_hd__nand2_8) - 0.14 0.13 11.56 ^ soc/core/_15308_/Y (sky130_fd_sc_hd__nand2_8) - 10 0.07 soc/core/_14972_ (net) - 0.14 0.01 11.57 ^ soc/core/_15313_/A (sky130_fd_sc_hd__nand2_8) - 0.11 0.11 11.68 v soc/core/_15313_/Y (sky130_fd_sc_hd__nand2_8) - 6 0.07 soc/core/_14977_ (net) - 0.11 0.01 11.69 v soc/core/_15315_/A (sky130_fd_sc_hd__nand2_2) - 0.04 0.07 11.76 ^ soc/core/_15315_/Y (sky130_fd_sc_hd__nand2_2) - 1 0.00 soc/core/_14979_ (net) - 0.04 0.00 11.76 ^ soc/core/hold2047/A (sky130_fd_sc_hd__clkbuf_2) - 0.12 0.15 11.91 ^ soc/core/hold2047/X (sky130_fd_sc_hd__clkbuf_2) - 4 0.02 soc/core/net4913 (net) - 0.12 0.00 11.91 ^ soc/core/_15316_/A (sky130_fd_sc_hd__clkinv_2) - 0.10 0.12 12.03 v soc/core/_15316_/Y (sky130_fd_sc_hd__clkinv_2) - 5 0.02 soc/core/_14980_ (net) - 0.10 0.00 12.03 v soc/core/_15363_/B (sky130_fd_sc_hd__nor3_1) - 0.19 0.21 12.24 ^ soc/core/_15363_/Y (sky130_fd_sc_hd__nor3_1) - 1 0.00 soc/core/_06305_ (net) - 0.19 0.00 12.24 ^ soc/core/_35131_/D (sky130_fd_sc_hd__dfxtp_4) - 12.24 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 14.82 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 14.96 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 14.96 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 15.10 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 15.10 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 15.24 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 15.24 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 15.39 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 15.39 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.25 15.64 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 15.65 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.19 15.84 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_3_0_core_clk (net) - 0.04 0.00 15.84 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.15 0.22 16.06 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_3_1_core_clk (net) - 0.15 0.00 16.06 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.18 16.25 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_6_0_core_clk (net) - 0.05 0.00 16.25 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.13 16.38 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_6_1_core_clk (net) - 0.04 0.00 16.38 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.13 0.20 16.58 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_6_2_core_clk (net) - 0.13 0.00 16.59 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.22 16.80 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_12_0_core_clk (net) - 0.10 0.00 16.80 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.16 16.96 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_25_0_core_clk (net) - 0.04 0.00 16.96 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.84 0.72 17.68 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 36 0.29 soc/core/clknet_5_25_1_core_clk (net) - 0.84 0.01 17.69 ^ soc/core/clkbuf_leaf_235_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.09 0.33 18.02 ^ soc/core/clkbuf_leaf_235_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 18 0.06 soc/core/clknet_leaf_235_core_clk (net) - 0.09 0.00 18.02 ^ soc/core/_35131_/CLK (sky130_fd_sc_hd__dfxtp_4) - 0.25 18.27 clock uncertainty - -5.81 12.47 clock reconvergence pessimism - -0.06 12.41 library hold time - 12.41 data required time ------------------------------------------------------------------------------ - 12.41 data required time - -12.24 data arrival time ------------------------------------------------------------------------------ - -0.17 slack (VIOLATED) - - -Startpoint: housekeeping/_9024_ - (rising edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31257_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.14 0.20 9.48 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_2_2_0_wb_clk_i (net) - 0.14 0.00 9.48 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.22 0.25 9.73 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net) - 0.22 0.00 9.73 ^ housekeeping/_9024_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.08 0.37 10.10 ^ housekeeping/_9024_/Q (sky130_fd_sc_hd__dfxtp_1) - 2 0.01 housekeeping/net354 (net) - 0.08 0.00 10.10 ^ housekeeping/output354/A (sky130_fd_sc_hd__buf_2) - 0.05 0.11 10.21 ^ housekeeping/output354/X (sky130_fd_sc_hd__buf_2) - 2 0.01 hk_dat_i[4] (net) - 0.06 0.01 10.22 ^ soc/core/input32/A (sky130_fd_sc_hd__buf_8) - 0.19 0.17 10.39 ^ soc/core/input32/X (sky130_fd_sc_hd__buf_8) - 2 0.11 soc/core/net32 (net) - 0.21 0.05 10.44 ^ soc/core/_23069_/B2 (sky130_fd_sc_hd__a22o_1) - 0.08 0.18 10.62 ^ soc/core/_23069_/X (sky130_fd_sc_hd__a22o_1) - 1 0.01 soc/core/_11350_ (net) - 0.08 0.00 10.62 ^ soc/core/_23070_/C1 (sky130_fd_sc_hd__a211o_2) - 0.02 0.09 10.71 ^ soc/core/_23070_/X (sky130_fd_sc_hd__a211o_2) - 1 0.00 soc/core/_11351_ (net) - 0.02 0.00 10.71 ^ soc/core/hold1095/A (sky130_fd_sc_hd__buf_2) - 0.21 0.20 10.92 ^ soc/core/hold1095/X (sky130_fd_sc_hd__buf_2) - 2 0.04 soc/core/net3961 (net) - 0.21 0.01 10.92 ^ soc/core/_23071_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.03 0.14 11.06 ^ soc/core/_23071_/X (sky130_fd_sc_hd__a2111o_4) - 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[4] (net) - 0.03 0.00 11.06 ^ soc/core/hold1093/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.18 0.60 11.66 ^ soc/core/hold1093/X (sky130_fd_sc_hd__dlygate4sd3_1) - 1 0.02 soc/core/net3960 (net) - 0.18 0.00 11.66 ^ soc/core/hold1094/A (sky130_fd_sc_hd__buf_6) - 0.22 0.24 11.90 ^ soc/core/hold1094/X (sky130_fd_sc_hd__buf_6) - 6 0.11 soc/core/net3959 (net) - 0.22 0.02 11.92 ^ soc/core/_24952_/B1 (sky130_fd_sc_hd__a221o_1) - 0.07 0.19 12.12 ^ soc/core/_24952_/X (sky130_fd_sc_hd__a221o_1) - 1 0.01 soc/core/_02978_ (net) - 0.07 0.00 12.12 ^ soc/core/_31257_/D (sky130_fd_sc_hd__dfxtp_2) - 12.12 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 14.82 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 14.96 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.05 0.00 14.96 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 15.11 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.05 0.00 15.11 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 15.26 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.05 0.00 15.26 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.12 15.38 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.03 0.00 15.38 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.24 0.27 15.65 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.25 0.03 15.67 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 15.86 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_0_0_core_clk (net) - 0.03 0.00 15.86 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.52 0.45 16.31 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.18 soc/core/clknet_2_0_1_core_clk (net) - 0.54 0.07 16.38 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.25 16.64 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_3_1_0_core_clk (net) - 0.04 0.00 16.64 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.12 16.75 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_3_1_1_core_clk (net) - 0.03 0.00 16.75 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.16 16.91 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.02 soc/core/clknet_3_1_2_core_clk (net) - 0.08 0.00 16.91 ^ soc/core/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 17.10 ^ soc/core/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_3_0_core_clk (net) - 0.09 0.00 17.10 ^ soc/core/clkbuf_5_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.14 17.25 ^ soc/core/clkbuf_5_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_6_0_core_clk (net) - 0.04 0.00 17.25 ^ soc/core/clkbuf_5_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.36 0.37 17.61 ^ soc/core/clkbuf_5_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 16 0.12 soc/core/clknet_5_6_1_core_clk (net) - 0.36 0.01 17.63 ^ soc/core/clkbuf_leaf_16_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.06 0.24 17.87 ^ soc/core/clkbuf_leaf_16_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 12 0.04 soc/core/clknet_leaf_16_core_clk (net) - 0.06 0.00 17.87 ^ soc/core/_31257_/CLK (sky130_fd_sc_hd__dfxtp_2) - 0.25 18.12 clock uncertainty - -5.81 12.32 clock reconvergence pessimism - -0.03 12.28 library hold time - 12.28 data required time ------------------------------------------------------------------------------ - 12.28 data required time - -12.12 data arrival time ------------------------------------------------------------------------------ - -0.16 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9017_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.05 0.48 8.97 ^ clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.05 0.00 8.98 ^ clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.02 0.03 9.01 v clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.02 0.00 9.01 v clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.05 0.13 9.14 v clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.06 0.01 9.15 v housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.08 0.15 9.30 v housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.28 0.12 9.42 v housekeeping/_6146_/A (sky130_fd_sc_hd__inv_2) - 0.09 0.14 9.56 ^ housekeeping/_6146_/Y (sky130_fd_sc_hd__inv_2) - 4 0.01 housekeeping/_1931_ (net) - 0.09 0.00 9.56 ^ housekeeping/_6974_/B (sky130_fd_sc_hd__or2_1) - 0.15 0.18 9.74 ^ housekeeping/_6974_/X (sky130_fd_sc_hd__or2_1) - 1 0.02 housekeeping/_2690_ (net) - 0.15 0.00 9.74 ^ housekeeping/_6975_/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.23 9.97 ^ housekeeping/_6975_/X (sky130_fd_sc_hd__clkbuf_4) - 9 0.04 housekeeping/_2691_ (net) - 0.14 0.00 9.97 ^ housekeeping/_6979_/A2 (sky130_fd_sc_hd__o22a_1) - 0.04 0.13 10.11 ^ housekeeping/_6979_/X (sky130_fd_sc_hd__o22a_1) - 1 0.00 housekeeping/_0267_ (net) - 0.04 0.00 10.11 ^ housekeeping/_9017_/D (sky130_fd_sc_hd__dfxtp_1) - 10.11 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.21 15.35 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 15.35 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.43 0.41 15.76 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) - 0.43 0.01 15.77 ^ housekeeping/_9017_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.25 16.02 clock uncertainty - -5.78 10.24 clock reconvergence pessimism - 0.02 10.27 library hold time - 10.27 data required time ------------------------------------------------------------------------------ - 10.27 data required time - -10.11 data arrival time ------------------------------------------------------------------------------ - -0.16 slack (VIOLATED) - - -Startpoint: housekeeping/_9041_ - (rising edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31764_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.19 9.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 9.47 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.43 0.37 9.85 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) - 0.43 0.01 9.86 ^ housekeeping/_9041_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.15 0.46 10.32 ^ housekeeping/_9041_/Q (sky130_fd_sc_hd__dfxtp_1) - 2 0.02 housekeeping/net349 (net) - 0.15 0.00 10.32 ^ housekeeping/output349/A (sky130_fd_sc_hd__buf_2) - 0.03 0.12 10.44 ^ housekeeping/output349/X (sky130_fd_sc_hd__buf_2) - 2 0.00 hk_dat_i[29] (net) - 0.03 0.00 10.45 ^ soc/core/input27/A (sky130_fd_sc_hd__buf_4) - 0.02 0.08 10.53 ^ soc/core/input27/X (sky130_fd_sc_hd__buf_4) - 1 0.00 soc/core/net27 (net) - 0.02 0.00 10.53 ^ soc/core/hold1248/A (sky130_fd_sc_hd__buf_4) - 0.19 0.20 10.73 ^ soc/core/hold1248/X (sky130_fd_sc_hd__buf_4) - 2 0.07 soc/core/net4114 (net) - 0.20 0.00 10.74 ^ soc/core/_22863_/B (sky130_fd_sc_hd__and2_1) - 0.07 0.16 10.90 ^ soc/core/_22863_/X (sky130_fd_sc_hd__and2_1) - 1 0.01 soc/core/_11194_ (net) - 0.07 0.00 10.90 ^ soc/core/_22864_/C1 (sky130_fd_sc_hd__a221o_4) - 0.05 0.11 11.01 ^ soc/core/_22864_/X (sky130_fd_sc_hd__a221o_4) - 1 0.01 soc/core/_11195_ (net) - 0.05 0.00 11.01 ^ soc/core/hold1207/A (sky130_fd_sc_hd__buf_12) - 0.20 0.17 11.18 ^ soc/core/hold1207/X (sky130_fd_sc_hd__buf_12) - 2 0.18 soc/core/net4073 (net) - 0.25 0.07 11.25 ^ soc/core/_22865_/C1 (sky130_fd_sc_hd__a221o_1) - 0.04 0.14 11.39 ^ soc/core/_22865_/X (sky130_fd_sc_hd__a221o_1) - 1 0.00 soc/core/_11196_ (net) - 0.04 0.00 11.39 ^ soc/core/hold1209/A (sky130_fd_sc_hd__clkdlybuf4s50_1) - 0.09 0.48 11.86 ^ soc/core/hold1209/X (sky130_fd_sc_hd__clkdlybuf4s50_1) - 1 0.01 soc/core/net4075 (net) - 0.09 0.00 11.87 ^ soc/core/_22866_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.03 0.11 11.97 ^ soc/core/_22866_/X (sky130_fd_sc_hd__a2111o_4) - 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[29] (net) - 0.03 0.00 11.97 ^ soc/core/hold1208/A (sky130_fd_sc_hd__buf_4) - 0.16 0.17 12.14 ^ soc/core/hold1208/X (sky130_fd_sc_hd__buf_4) - 8 0.05 soc/core/net4074 (net) - 0.16 0.01 12.15 ^ soc/core/_31764_/D (sky130_fd_sc_hd__dfxtp_2) - 12.15 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 14.82 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 14.96 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.05 0.00 14.96 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 15.11 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.05 0.00 15.11 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 15.26 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.05 0.00 15.26 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.12 15.38 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.03 0.00 15.38 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.24 0.27 15.65 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.25 0.03 15.67 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 15.86 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_0_0_core_clk (net) - 0.03 0.00 15.86 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.52 0.45 16.31 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.18 soc/core/clknet_2_0_1_core_clk (net) - 0.54 0.07 16.38 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.25 16.64 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_3_1_0_core_clk (net) - 0.04 0.00 16.64 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.12 16.75 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_3_1_1_core_clk (net) - 0.03 0.00 16.75 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.16 16.91 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.02 soc/core/clknet_3_1_2_core_clk (net) - 0.08 0.00 16.91 ^ soc/core/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 17.10 ^ soc/core/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_3_0_core_clk (net) - 0.09 0.00 17.10 ^ soc/core/clkbuf_5_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.14 17.25 ^ soc/core/clkbuf_5_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_6_0_core_clk (net) - 0.04 0.00 17.25 ^ soc/core/clkbuf_5_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.36 0.37 17.61 ^ soc/core/clkbuf_5_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 16 0.12 soc/core/clknet_5_6_1_core_clk (net) - 0.36 0.01 17.62 ^ soc/core/clkbuf_leaf_12_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.08 0.26 17.88 ^ soc/core/clkbuf_leaf_12_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 24 0.06 soc/core/clknet_leaf_12_core_clk (net) - 0.08 0.00 17.89 ^ soc/core/_31764_/CLK (sky130_fd_sc_hd__dfxtp_2) - 0.25 18.14 clock uncertainty - -5.81 12.33 clock reconvergence pessimism - -0.05 12.28 library hold time - 12.28 data required time ------------------------------------------------------------------------------ - 12.28 data required time - -12.15 data arrival time ------------------------------------------------------------------------------ - -0.13 slack (VIOLATED) - - -Startpoint: housekeeping/_9023_ - (rising edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31738_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.14 0.20 9.48 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_2_2_0_wb_clk_i (net) - 0.14 0.00 9.48 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.22 0.25 9.73 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net) - 0.22 0.00 9.73 ^ housekeeping/_9023_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.09 0.38 10.11 ^ housekeeping/_9023_/Q (sky130_fd_sc_hd__dfxtp_1) - 2 0.01 housekeeping/net353 (net) - 0.09 0.00 10.11 ^ housekeeping/output353/A (sky130_fd_sc_hd__buf_2) - 0.05 0.12 10.23 ^ housekeeping/output353/X (sky130_fd_sc_hd__buf_2) - 2 0.01 hk_dat_i[3] (net) - 0.06 0.01 10.24 ^ soc/core/input31/A (sky130_fd_sc_hd__buf_8) - 0.17 0.18 10.43 ^ soc/core/input31/X (sky130_fd_sc_hd__buf_8) - 2 0.11 soc/core/net31 (net) - 0.17 0.01 10.43 ^ soc/core/_23078_/B2 (sky130_fd_sc_hd__a22o_1) - 0.07 0.16 10.60 ^ soc/core/_23078_/X (sky130_fd_sc_hd__a22o_1) - 1 0.01 soc/core/_11357_ (net) - 0.07 0.00 10.60 ^ soc/core/_23079_/C1 (sky130_fd_sc_hd__a211o_2) - 0.02 0.09 10.68 ^ soc/core/_23079_/X (sky130_fd_sc_hd__a211o_2) - 1 0.00 soc/core/_11358_ (net) - 0.02 0.00 10.68 ^ soc/core/hold1057/A (sky130_fd_sc_hd__buf_2) - 0.15 0.17 10.85 ^ soc/core/hold1057/X (sky130_fd_sc_hd__buf_2) - 2 0.03 soc/core/net3923 (net) - 0.15 0.01 10.86 ^ soc/core/_23080_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.03 0.12 10.98 ^ soc/core/_23080_/X (sky130_fd_sc_hd__a2111o_4) - 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[3] (net) - 0.03 0.00 10.98 ^ soc/core/hold1055/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.22 0.63 11.61 ^ soc/core/hold1055/X (sky130_fd_sc_hd__dlygate4sd3_1) - 1 0.02 soc/core/net3922 (net) - 0.22 0.00 11.61 ^ soc/core/hold1056/A (sky130_fd_sc_hd__buf_6) - 0.19 0.23 11.84 ^ soc/core/hold1056/X (sky130_fd_sc_hd__buf_6) - 6 0.09 soc/core/net3921 (net) - 0.19 0.00 11.84 ^ soc/core/hold1715/A (sky130_fd_sc_hd__buf_4) - 0.23 0.27 12.11 ^ soc/core/hold1715/X (sky130_fd_sc_hd__buf_4) - 4 0.08 soc/core/net4581 (net) - 0.23 0.01 12.12 ^ soc/core/_31738_/D (sky130_fd_sc_hd__dfxtp_1) - 12.12 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 14.82 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 14.96 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.05 0.00 14.96 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 15.11 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.05 0.00 15.11 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 15.26 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.05 0.00 15.26 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.12 15.38 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.03 0.00 15.38 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.24 0.27 15.65 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.25 0.03 15.67 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 15.86 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_0_0_core_clk (net) - 0.03 0.00 15.86 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.52 0.45 16.31 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.18 soc/core/clknet_2_0_1_core_clk (net) - 0.54 0.07 16.38 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.25 16.64 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_3_1_0_core_clk (net) - 0.04 0.00 16.64 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.12 16.75 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_3_1_1_core_clk (net) - 0.03 0.00 16.75 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.16 16.91 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.02 soc/core/clknet_3_1_2_core_clk (net) - 0.08 0.00 16.91 ^ soc/core/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 17.10 ^ soc/core/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_3_0_core_clk (net) - 0.09 0.00 17.10 ^ soc/core/clkbuf_5_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.14 17.25 ^ soc/core/clkbuf_5_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_6_0_core_clk (net) - 0.04 0.00 17.25 ^ soc/core/clkbuf_5_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.36 0.37 17.61 ^ soc/core/clkbuf_5_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 16 0.12 soc/core/clknet_5_6_1_core_clk (net) - 0.36 0.01 17.63 ^ soc/core/clkbuf_leaf_15_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.06 0.24 17.87 ^ soc/core/clkbuf_leaf_15_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 10 0.04 soc/core/clknet_leaf_15_core_clk (net) - 0.06 0.00 17.87 ^ soc/core/_31738_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.25 18.12 clock uncertainty - -5.81 12.31 clock reconvergence pessimism - -0.07 12.24 library hold time - 12.24 data required time ------------------------------------------------------------------------------ - 12.24 data required time - -12.12 data arrival time ------------------------------------------------------------------------------ - -0.13 slack (VIOLATED) - - -Startpoint: housekeeping/_9119_ - (rising edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_32669_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.19 9.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 9.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.54 0.45 9.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) - 0.54 0.00 9.92 ^ housekeeping/_9119_/CLK (sky130_fd_sc_hd__dfrtp_4) - 0.16 0.58 10.51 ^ housekeeping/_9119_/Q (sky130_fd_sc_hd__dfrtp_4) - 2 0.05 housekeeping/net327 (net) - 0.16 0.01 10.51 ^ housekeeping/output327/A (sky130_fd_sc_hd__buf_2) - 0.04 0.13 10.65 ^ housekeeping/output327/X (sky130_fd_sc_hd__buf_2) - 2 0.01 hk_ack_i (net) - 0.04 0.01 10.65 ^ soc/core/input5/A (sky130_fd_sc_hd__buf_6) - 0.03 0.08 10.73 ^ soc/core/input5/X (sky130_fd_sc_hd__buf_6) - 1 0.01 soc/core/net5 (net) - 0.03 0.00 10.73 ^ soc/core/hold2048/A (sky130_fd_sc_hd__buf_6) - 0.15 0.15 10.88 ^ soc/core/hold2048/X (sky130_fd_sc_hd__buf_6) - 2 0.07 soc/core/net4914 (net) - 0.16 0.02 10.90 ^ soc/core/_15303_/B (sky130_fd_sc_hd__nor2_1) - 0.04 0.05 10.96 v soc/core/_15303_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 soc/core/_14967_ (net) - 0.04 0.00 10.96 v soc/core/hold1126/A (sky130_fd_sc_hd__clkbuf_1) - 0.09 0.13 11.08 v soc/core/hold1126/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.01 soc/core/net3992 (net) - 0.09 0.00 11.09 v soc/core/_15306_/A (sky130_fd_sc_hd__and3_1) - 0.06 0.18 11.27 v soc/core/_15306_/X (sky130_fd_sc_hd__and3_1) - 1 0.01 soc/core/_14970_ (net) - 0.06 0.00 11.27 v soc/core/_15307_/B1 (sky130_fd_sc_hd__o21a_2) - 0.07 0.15 11.42 v soc/core/_15307_/X (sky130_fd_sc_hd__o21a_2) - 1 0.02 soc/core/_14971_ (net) - 0.07 0.00 11.42 v soc/core/_15308_/B (sky130_fd_sc_hd__nand2_8) - 0.14 0.13 11.56 ^ soc/core/_15308_/Y (sky130_fd_sc_hd__nand2_8) - 10 0.07 soc/core/_14972_ (net) - 0.14 0.01 11.57 ^ soc/core/_15313_/A (sky130_fd_sc_hd__nand2_8) - 0.11 0.11 11.68 v soc/core/_15313_/Y (sky130_fd_sc_hd__nand2_8) - 6 0.07 soc/core/_14977_ (net) - 0.11 0.01 11.69 v soc/core/_22850_/B (sky130_fd_sc_hd__nor2_8) - 0.30 0.25 11.95 ^ soc/core/_22850_/Y (sky130_fd_sc_hd__nor2_8) - 8 0.08 soc/core/_11184_ (net) - 0.30 0.02 11.97 ^ soc/core/_22913_/A (sky130_fd_sc_hd__buf_4) - 0.18 0.27 12.24 ^ soc/core/_22913_/X (sky130_fd_sc_hd__buf_4) - 16 0.07 soc/core/_11231_ (net) - 0.19 0.01 12.24 ^ soc/core/_22953_/S (sky130_fd_sc_hd__mux2_1) - 0.04 0.16 12.40 ^ soc/core/_22953_/X (sky130_fd_sc_hd__mux2_1) - 1 0.00 soc/core/_11261_ (net) - 0.04 0.00 12.40 ^ soc/core/_22954_/A (sky130_fd_sc_hd__clkbuf_1) - 0.04 0.07 12.47 ^ soc/core/_22954_/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/_03843_ (net) - 0.04 0.00 12.47 ^ soc/core/_32669_/D (sky130_fd_sc_hd__dfxtp_1) - 12.47 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 14.82 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 14.96 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 14.96 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 15.10 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 15.10 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 15.24 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 15.24 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 15.39 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 15.39 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.25 15.64 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 15.65 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.19 15.84 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_2_0_core_clk (net) - 0.05 0.00 15.84 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.21 16.05 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_2_1_core_clk (net) - 0.14 0.01 16.06 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.18 16.24 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_4_0_core_clk (net) - 0.05 0.00 16.24 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.13 16.37 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_4_1_core_clk (net) - 0.04 0.00 16.37 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.13 0.20 16.57 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_4_2_core_clk (net) - 0.13 0.01 16.58 ^ soc/core/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.12 0.23 16.81 ^ soc/core/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_4_9_0_core_clk (net) - 0.12 0.00 16.81 ^ soc/core/clkbuf_5_18_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.16 16.97 ^ soc/core/clkbuf_5_18_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_18_0_core_clk (net) - 0.04 0.00 16.97 ^ soc/core/clkbuf_5_18_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.64 0.57 17.54 ^ soc/core/clkbuf_5_18_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 26 0.22 soc/core/clknet_5_18_1_core_clk (net) - 0.64 0.03 17.57 ^ soc/core/clkbuf_opt_34_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.28 17.85 ^ soc/core/clkbuf_opt_34_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_34_0_core_clk (net) - 0.05 0.00 17.85 ^ soc/core/clkbuf_opt_34_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.14 17.99 ^ soc/core/clkbuf_opt_34_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 soc/core/clknet_opt_34_1_core_clk (net) - 0.05 0.00 17.99 ^ soc/core/clkbuf_leaf_370_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.08 0.17 18.16 ^ soc/core/clkbuf_leaf_370_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 22 0.06 soc/core/clknet_leaf_370_core_clk (net) - 0.08 0.00 18.17 ^ soc/core/_32669_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.25 18.42 clock uncertainty - -5.81 12.61 clock reconvergence pessimism - -0.03 12.58 library hold time - 12.58 data required time ------------------------------------------------------------------------------ - 12.58 data required time - -12.47 data arrival time ------------------------------------------------------------------------------ - -0.11 slack (VIOLATED) - - -Startpoint: housekeeping/_9119_ - (rising edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_33121_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.19 9.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 9.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.54 0.45 9.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) - 0.54 0.00 9.92 ^ housekeeping/_9119_/CLK (sky130_fd_sc_hd__dfrtp_4) - 0.16 0.58 10.51 ^ housekeeping/_9119_/Q (sky130_fd_sc_hd__dfrtp_4) - 2 0.05 housekeeping/net327 (net) - 0.16 0.01 10.51 ^ housekeeping/output327/A (sky130_fd_sc_hd__buf_2) - 0.04 0.13 10.65 ^ housekeeping/output327/X (sky130_fd_sc_hd__buf_2) - 2 0.01 hk_ack_i (net) - 0.04 0.01 10.65 ^ soc/core/input5/A (sky130_fd_sc_hd__buf_6) - 0.03 0.08 10.73 ^ soc/core/input5/X (sky130_fd_sc_hd__buf_6) - 1 0.01 soc/core/net5 (net) - 0.03 0.00 10.73 ^ soc/core/hold2048/A (sky130_fd_sc_hd__buf_6) - 0.15 0.15 10.88 ^ soc/core/hold2048/X (sky130_fd_sc_hd__buf_6) - 2 0.07 soc/core/net4914 (net) - 0.16 0.02 10.90 ^ soc/core/_15303_/B (sky130_fd_sc_hd__nor2_1) - 0.04 0.05 10.96 v soc/core/_15303_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 soc/core/_14967_ (net) - 0.04 0.00 10.96 v soc/core/hold1126/A (sky130_fd_sc_hd__clkbuf_1) - 0.09 0.13 11.08 v soc/core/hold1126/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.01 soc/core/net3992 (net) - 0.09 0.00 11.09 v soc/core/_15306_/A (sky130_fd_sc_hd__and3_1) - 0.06 0.18 11.27 v soc/core/_15306_/X (sky130_fd_sc_hd__and3_1) - 1 0.01 soc/core/_14970_ (net) - 0.06 0.00 11.27 v soc/core/_15307_/B1 (sky130_fd_sc_hd__o21a_2) - 0.07 0.15 11.42 v soc/core/_15307_/X (sky130_fd_sc_hd__o21a_2) - 1 0.02 soc/core/_14971_ (net) - 0.07 0.00 11.42 v soc/core/_15308_/B (sky130_fd_sc_hd__nand2_8) - 0.14 0.13 11.56 ^ soc/core/_15308_/Y (sky130_fd_sc_hd__nand2_8) - 10 0.07 soc/core/_14972_ (net) - 0.14 0.00 11.56 ^ soc/core/_15391_/A (sky130_fd_sc_hd__clkinv_2) - 0.11 0.13 11.69 v soc/core/_15391_/Y (sky130_fd_sc_hd__clkinv_2) - 4 0.03 soc/core/_06316_ (net) - 0.11 0.00 11.69 v soc/core/_15392_/B (sky130_fd_sc_hd__nor2_2) - 0.22 0.20 11.89 ^ soc/core/_15392_/Y (sky130_fd_sc_hd__nor2_2) - 1 0.02 soc/core/_06317_ (net) - 0.22 0.00 11.89 ^ soc/core/_15393_/B (sky130_fd_sc_hd__nor2_8) - 0.09 0.11 12.00 v soc/core/_15393_/Y (sky130_fd_sc_hd__nor2_8) - 10 0.09 soc/core/_06318_ (net) - 0.10 0.02 12.01 v soc/core/_21623_/A (sky130_fd_sc_hd__clkbuf_4) - 0.11 0.22 12.24 v soc/core/_21623_/X (sky130_fd_sc_hd__clkbuf_4) - 16 0.05 soc/core/_10402_ (net) - 0.11 0.00 12.24 v soc/core/_21626_/S (sky130_fd_sc_hd__mux2_1) - 0.04 0.21 12.45 ^ soc/core/_21626_/X (sky130_fd_sc_hd__mux2_1) - 1 0.00 soc/core/_10404_ (net) - 0.04 0.00 12.45 ^ soc/core/_21627_/A (sky130_fd_sc_hd__clkbuf_1) - 0.04 0.08 12.52 ^ soc/core/_21627_/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/_04295_ (net) - 0.04 0.00 12.52 ^ soc/core/_33121_/D (sky130_fd_sc_hd__dfxtp_2) - 12.52 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 14.82 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 14.96 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 14.96 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 15.10 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 15.10 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 15.24 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 15.24 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 15.39 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 15.39 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.25 15.64 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 15.65 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.19 15.84 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_2_0_core_clk (net) - 0.05 0.00 15.84 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.21 16.05 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_2_1_core_clk (net) - 0.14 0.01 16.06 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.18 16.24 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_5_0_core_clk (net) - 0.05 0.00 16.24 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.14 16.38 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_5_1_core_clk (net) - 0.04 0.00 16.38 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.11 0.19 16.57 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_3_5_2_core_clk (net) - 0.11 0.00 16.57 ^ soc/core/clkbuf_4_11_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.21 16.79 ^ soc/core/clkbuf_4_11_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_11_0_core_clk (net) - 0.10 0.00 16.79 ^ soc/core/clkbuf_5_23_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.16 16.94 ^ soc/core/clkbuf_5_23_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_23_0_core_clk (net) - 0.04 0.00 16.94 ^ soc/core/clkbuf_5_23_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.79 0.68 17.63 ^ soc/core/clkbuf_5_23_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 34 0.27 soc/core/clknet_5_23_1_core_clk (net) - 0.79 0.02 17.64 ^ soc/core/clkbuf_opt_39_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.06 0.29 17.93 ^ soc/core/clkbuf_opt_39_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.01 soc/core/clknet_opt_39_0_core_clk (net) - 0.06 0.00 17.93 ^ soc/core/clkbuf_opt_39_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.14 18.07 ^ soc/core/clkbuf_opt_39_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_39_1_core_clk (net) - 0.04 0.00 18.07 ^ soc/core/clkbuf_leaf_270_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.06 0.15 18.22 ^ soc/core/clkbuf_leaf_270_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 12 0.04 soc/core/clknet_leaf_270_core_clk (net) - 0.06 0.00 18.22 ^ soc/core/_33121_/CLK (sky130_fd_sc_hd__dfxtp_2) - 0.25 18.47 clock uncertainty - -5.81 12.66 clock reconvergence pessimism - -0.03 12.63 library hold time - 12.63 data required time ------------------------------------------------------------------------------ - 12.63 data required time - -12.52 data arrival time ------------------------------------------------------------------------------ - -0.11 slack (VIOLATED) - - -Startpoint: housekeeping/_9119_ - (rising edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_33115_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.19 9.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 9.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.54 0.45 9.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) - 0.54 0.00 9.92 ^ housekeeping/_9119_/CLK (sky130_fd_sc_hd__dfrtp_4) - 0.16 0.58 10.51 ^ housekeeping/_9119_/Q (sky130_fd_sc_hd__dfrtp_4) - 2 0.05 housekeeping/net327 (net) - 0.16 0.01 10.51 ^ housekeeping/output327/A (sky130_fd_sc_hd__buf_2) - 0.04 0.13 10.65 ^ housekeeping/output327/X (sky130_fd_sc_hd__buf_2) - 2 0.01 hk_ack_i (net) - 0.04 0.01 10.65 ^ soc/core/input5/A (sky130_fd_sc_hd__buf_6) - 0.03 0.08 10.73 ^ soc/core/input5/X (sky130_fd_sc_hd__buf_6) - 1 0.01 soc/core/net5 (net) - 0.03 0.00 10.73 ^ soc/core/hold2048/A (sky130_fd_sc_hd__buf_6) - 0.15 0.15 10.88 ^ soc/core/hold2048/X (sky130_fd_sc_hd__buf_6) - 2 0.07 soc/core/net4914 (net) - 0.16 0.02 10.90 ^ soc/core/_15303_/B (sky130_fd_sc_hd__nor2_1) - 0.04 0.05 10.96 v soc/core/_15303_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 soc/core/_14967_ (net) - 0.04 0.00 10.96 v soc/core/hold1126/A (sky130_fd_sc_hd__clkbuf_1) - 0.09 0.13 11.08 v soc/core/hold1126/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.01 soc/core/net3992 (net) - 0.09 0.00 11.09 v soc/core/_15306_/A (sky130_fd_sc_hd__and3_1) - 0.06 0.18 11.27 v soc/core/_15306_/X (sky130_fd_sc_hd__and3_1) - 1 0.01 soc/core/_14970_ (net) - 0.06 0.00 11.27 v soc/core/_15307_/B1 (sky130_fd_sc_hd__o21a_2) - 0.07 0.15 11.42 v soc/core/_15307_/X (sky130_fd_sc_hd__o21a_2) - 1 0.02 soc/core/_14971_ (net) - 0.07 0.00 11.42 v soc/core/_15308_/B (sky130_fd_sc_hd__nand2_8) - 0.14 0.13 11.56 ^ soc/core/_15308_/Y (sky130_fd_sc_hd__nand2_8) - 10 0.07 soc/core/_14972_ (net) - 0.14 0.00 11.56 ^ soc/core/_15391_/A (sky130_fd_sc_hd__clkinv_2) - 0.11 0.13 11.69 v soc/core/_15391_/Y (sky130_fd_sc_hd__clkinv_2) - 4 0.03 soc/core/_06316_ (net) - 0.11 0.00 11.69 v soc/core/_15392_/B (sky130_fd_sc_hd__nor2_2) - 0.22 0.20 11.89 ^ soc/core/_15392_/Y (sky130_fd_sc_hd__nor2_2) - 1 0.02 soc/core/_06317_ (net) - 0.22 0.00 11.89 ^ soc/core/_15393_/B (sky130_fd_sc_hd__nor2_8) - 0.09 0.11 12.00 v soc/core/_15393_/Y (sky130_fd_sc_hd__nor2_8) - 10 0.09 soc/core/_06318_ (net) - 0.10 0.02 12.01 v soc/core/_21623_/A (sky130_fd_sc_hd__clkbuf_4) - 0.11 0.22 12.24 v soc/core/_21623_/X (sky130_fd_sc_hd__clkbuf_4) - 16 0.05 soc/core/_10402_ (net) - 0.11 0.00 12.24 v soc/core/_21638_/S (sky130_fd_sc_hd__mux2_1) - 0.04 0.21 12.45 ^ soc/core/_21638_/X (sky130_fd_sc_hd__mux2_1) - 1 0.00 soc/core/_10410_ (net) - 0.04 0.00 12.45 ^ soc/core/_21639_/A (sky130_fd_sc_hd__clkbuf_1) - 0.04 0.07 12.53 ^ soc/core/_21639_/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/_04289_ (net) - 0.04 0.00 12.53 ^ soc/core/_33115_/D (sky130_fd_sc_hd__dfxtp_2) - 12.53 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 14.82 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 14.96 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 14.96 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 15.10 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 15.10 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 15.24 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 15.24 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 15.39 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 15.39 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.25 15.64 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 15.65 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.19 15.84 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_2_0_core_clk (net) - 0.05 0.00 15.84 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.21 16.05 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_2_1_core_clk (net) - 0.14 0.01 16.06 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.18 16.24 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_5_0_core_clk (net) - 0.05 0.00 16.24 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.14 16.38 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_5_1_core_clk (net) - 0.04 0.00 16.38 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.11 0.19 16.57 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_3_5_2_core_clk (net) - 0.11 0.00 16.57 ^ soc/core/clkbuf_4_11_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.21 16.79 ^ soc/core/clkbuf_4_11_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_11_0_core_clk (net) - 0.10 0.00 16.79 ^ soc/core/clkbuf_5_23_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.16 16.94 ^ soc/core/clkbuf_5_23_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_23_0_core_clk (net) - 0.04 0.00 16.94 ^ soc/core/clkbuf_5_23_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.79 0.68 17.63 ^ soc/core/clkbuf_5_23_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 34 0.27 soc/core/clknet_5_23_1_core_clk (net) - 0.79 0.02 17.64 ^ soc/core/clkbuf_opt_39_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.06 0.29 17.93 ^ soc/core/clkbuf_opt_39_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.01 soc/core/clknet_opt_39_0_core_clk (net) - 0.06 0.00 17.93 ^ soc/core/clkbuf_opt_39_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.14 18.07 ^ soc/core/clkbuf_opt_39_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_39_1_core_clk (net) - 0.04 0.00 18.07 ^ soc/core/clkbuf_leaf_270_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.06 0.15 18.22 ^ soc/core/clkbuf_leaf_270_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 12 0.04 soc/core/clknet_leaf_270_core_clk (net) - 0.06 0.00 18.22 ^ soc/core/_33115_/CLK (sky130_fd_sc_hd__dfxtp_2) - 0.25 18.47 clock uncertainty - -5.81 12.66 clock reconvergence pessimism - -0.03 12.63 library hold time - 12.63 data required time ------------------------------------------------------------------------------ - 12.63 data required time - -12.53 data arrival time ------------------------------------------------------------------------------ - -0.11 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9030_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.05 0.48 8.97 ^ clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.05 0.00 8.98 ^ clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.02 0.03 9.01 v clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.02 0.00 9.01 v clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.05 0.13 9.14 v clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.06 0.01 9.15 v housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.08 0.15 9.30 v housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.28 0.12 9.42 v housekeeping/_6146_/A (sky130_fd_sc_hd__inv_2) - 0.09 0.14 9.56 ^ housekeeping/_6146_/Y (sky130_fd_sc_hd__inv_2) - 4 0.01 housekeeping/_1931_ (net) - 0.09 0.00 9.56 ^ housekeeping/_6950_/A (sky130_fd_sc_hd__or2_1) - 0.12 0.16 9.72 ^ housekeeping/_6950_/X (sky130_fd_sc_hd__or2_1) - 1 0.01 housekeeping/_2682_ (net) - 0.12 0.00 9.72 ^ housekeeping/_6951_/A (sky130_fd_sc_hd__clkbuf_4) - 0.13 0.22 9.94 ^ housekeeping/_6951_/X (sky130_fd_sc_hd__clkbuf_4) - 9 0.04 housekeeping/_2683_ (net) - 0.13 0.00 9.94 ^ housekeeping/_6958_/A2 (sky130_fd_sc_hd__o22a_1) - 0.04 0.13 10.07 ^ housekeeping/_6958_/X (sky130_fd_sc_hd__o22a_1) - 1 0.00 housekeeping/_0280_ (net) - 0.04 0.00 10.07 ^ housekeeping/_9030_/D (sky130_fd_sc_hd__dfxtp_1) - 10.07 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.14 0.22 15.36 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_2_2_0_wb_clk_i (net) - 0.14 0.00 15.36 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.28 0.32 15.68 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 12 0.05 housekeeping/clknet_3_5_0_wb_clk_i (net) - 0.28 0.00 15.69 ^ housekeeping/_9030_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.25 15.94 clock uncertainty - -5.78 10.16 clock reconvergence pessimism - 0.00 10.16 library hold time - 10.16 data required time ------------------------------------------------------------------------------ - 10.16 data required time - -10.07 data arrival time ------------------------------------------------------------------------------ - -0.09 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9031_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.05 0.48 8.97 ^ clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.05 0.00 8.98 ^ clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.02 0.03 9.01 v clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.02 0.00 9.01 v clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.05 0.13 9.14 v clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.06 0.01 9.15 v housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.08 0.15 9.30 v housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.28 0.12 9.42 v housekeeping/_6146_/A (sky130_fd_sc_hd__inv_2) - 0.09 0.14 9.56 ^ housekeeping/_6146_/Y (sky130_fd_sc_hd__inv_2) - 4 0.01 housekeeping/_1931_ (net) - 0.09 0.00 9.56 ^ housekeeping/_6950_/A (sky130_fd_sc_hd__or2_1) - 0.12 0.16 9.72 ^ housekeeping/_6950_/X (sky130_fd_sc_hd__or2_1) - 1 0.01 housekeeping/_2682_ (net) - 0.12 0.00 9.72 ^ housekeeping/_6951_/A (sky130_fd_sc_hd__clkbuf_4) - 0.13 0.22 9.94 ^ housekeeping/_6951_/X (sky130_fd_sc_hd__clkbuf_4) - 9 0.04 housekeeping/_2683_ (net) - 0.13 0.00 9.94 ^ housekeeping/_6957_/A2 (sky130_fd_sc_hd__o22a_1) - 0.04 0.13 10.07 ^ housekeeping/_6957_/X (sky130_fd_sc_hd__o22a_1) - 1 0.00 housekeeping/_0281_ (net) - 0.04 0.00 10.07 ^ housekeeping/_9031_/D (sky130_fd_sc_hd__dfxtp_1) - 10.07 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.14 0.22 15.36 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_2_2_0_wb_clk_i (net) - 0.14 0.00 15.36 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.28 0.32 15.68 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 12 0.05 housekeeping/clknet_3_5_0_wb_clk_i (net) - 0.28 0.01 15.69 ^ housekeeping/_9031_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.25 15.94 clock uncertainty - -5.78 10.16 clock reconvergence pessimism - 0.00 10.16 library hold time - 10.16 data required time ------------------------------------------------------------------------------ - 10.16 data required time - -10.07 data arrival time ------------------------------------------------------------------------------ - -0.09 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9028_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.05 0.48 8.97 ^ clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.05 0.00 8.98 ^ clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.02 0.03 9.01 v clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.02 0.00 9.01 v clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.05 0.13 9.14 v clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.06 0.01 9.15 v housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.08 0.15 9.30 v housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.28 0.12 9.42 v housekeeping/_6146_/A (sky130_fd_sc_hd__inv_2) - 0.09 0.14 9.56 ^ housekeeping/_6146_/Y (sky130_fd_sc_hd__inv_2) - 4 0.01 housekeeping/_1931_ (net) - 0.09 0.00 9.56 ^ housekeeping/_6950_/A (sky130_fd_sc_hd__or2_1) - 0.12 0.16 9.72 ^ housekeeping/_6950_/X (sky130_fd_sc_hd__or2_1) - 1 0.01 housekeeping/_2682_ (net) - 0.12 0.00 9.72 ^ housekeeping/_6951_/A (sky130_fd_sc_hd__clkbuf_4) - 0.13 0.22 9.94 ^ housekeeping/_6951_/X (sky130_fd_sc_hd__clkbuf_4) - 9 0.04 housekeeping/_2683_ (net) - 0.13 0.00 9.94 ^ housekeeping/_6960_/A2 (sky130_fd_sc_hd__o22a_1) - 0.04 0.13 10.07 ^ housekeeping/_6960_/X (sky130_fd_sc_hd__o22a_1) - 1 0.00 housekeeping/_0278_ (net) - 0.04 0.00 10.07 ^ housekeeping/_9028_/D (sky130_fd_sc_hd__dfxtp_1) - 10.07 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.14 0.22 15.36 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_2_2_0_wb_clk_i (net) - 0.14 0.00 15.36 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.28 0.32 15.68 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 12 0.05 housekeeping/clknet_3_5_0_wb_clk_i (net) - 0.28 0.00 15.69 ^ housekeeping/_9028_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.25 15.94 clock uncertainty - -5.78 10.16 clock reconvergence pessimism - 0.00 10.16 library hold time - 10.16 data required time ------------------------------------------------------------------------------ - 10.16 data required time - -10.07 data arrival time ------------------------------------------------------------------------------ - -0.09 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9029_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.05 0.48 8.97 ^ clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.05 0.00 8.98 ^ clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.02 0.03 9.01 v clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.02 0.00 9.01 v clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.05 0.13 9.14 v clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.06 0.01 9.15 v housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.08 0.15 9.30 v housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.28 0.12 9.42 v housekeeping/_6146_/A (sky130_fd_sc_hd__inv_2) - 0.09 0.14 9.56 ^ housekeeping/_6146_/Y (sky130_fd_sc_hd__inv_2) - 4 0.01 housekeeping/_1931_ (net) - 0.09 0.00 9.56 ^ housekeeping/_6950_/A (sky130_fd_sc_hd__or2_1) - 0.12 0.16 9.72 ^ housekeeping/_6950_/X (sky130_fd_sc_hd__or2_1) - 1 0.01 housekeeping/_2682_ (net) - 0.12 0.00 9.72 ^ housekeeping/_6951_/A (sky130_fd_sc_hd__clkbuf_4) - 0.13 0.22 9.94 ^ housekeeping/_6951_/X (sky130_fd_sc_hd__clkbuf_4) - 9 0.04 housekeeping/_2683_ (net) - 0.13 0.00 9.94 ^ housekeeping/_6959_/A2 (sky130_fd_sc_hd__o22a_1) - 0.04 0.13 10.07 ^ housekeeping/_6959_/X (sky130_fd_sc_hd__o22a_1) - 1 0.00 housekeeping/_0279_ (net) - 0.04 0.00 10.07 ^ housekeeping/_9029_/D (sky130_fd_sc_hd__dfxtp_1) - 10.07 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.14 0.22 15.36 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_2_2_0_wb_clk_i (net) - 0.14 0.00 15.36 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.28 0.32 15.68 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 12 0.05 housekeeping/clknet_3_5_0_wb_clk_i (net) - 0.28 0.00 15.69 ^ housekeeping/_9029_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.25 15.94 clock uncertainty - -5.78 10.16 clock reconvergence pessimism - 0.00 10.16 library hold time - 10.16 data required time ------------------------------------------------------------------------------ - 10.16 data required time - -10.07 data arrival time ------------------------------------------------------------------------------ - -0.09 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9032_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.05 0.48 8.97 ^ clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.05 0.00 8.98 ^ clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.02 0.03 9.01 v clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.02 0.00 9.01 v clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.05 0.13 9.14 v clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.06 0.01 9.15 v housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.08 0.15 9.30 v housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.28 0.12 9.42 v housekeeping/_6146_/A (sky130_fd_sc_hd__inv_2) - 0.09 0.14 9.56 ^ housekeeping/_6146_/Y (sky130_fd_sc_hd__inv_2) - 4 0.01 housekeeping/_1931_ (net) - 0.09 0.00 9.56 ^ housekeeping/_6950_/A (sky130_fd_sc_hd__or2_1) - 0.12 0.16 9.72 ^ housekeeping/_6950_/X (sky130_fd_sc_hd__or2_1) - 1 0.01 housekeeping/_2682_ (net) - 0.12 0.00 9.72 ^ housekeeping/_6951_/A (sky130_fd_sc_hd__clkbuf_4) - 0.13 0.22 9.94 ^ housekeeping/_6951_/X (sky130_fd_sc_hd__clkbuf_4) - 9 0.04 housekeeping/_2683_ (net) - 0.13 0.00 9.94 ^ housekeeping/_6956_/A2 (sky130_fd_sc_hd__o22a_2) - 0.03 0.14 10.08 ^ housekeeping/_6956_/X (sky130_fd_sc_hd__o22a_2) - 1 0.00 housekeeping/_0282_ (net) - 0.03 0.00 10.08 ^ housekeeping/_9032_/D (sky130_fd_sc_hd__dfxtp_1) - 10.08 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.14 0.22 15.36 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_2_2_0_wb_clk_i (net) - 0.14 0.00 15.36 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.28 0.32 15.68 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 12 0.05 housekeeping/clknet_3_5_0_wb_clk_i (net) - 0.28 0.01 15.69 ^ housekeeping/_9032_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.25 15.94 clock uncertainty - -5.78 10.16 clock reconvergence pessimism - 0.00 10.16 library hold time - 10.16 data required time ------------------------------------------------------------------------------ - 10.16 data required time - -10.08 data arrival time ------------------------------------------------------------------------------ - -0.09 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9035_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.05 0.48 8.97 ^ clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.05 0.00 8.98 ^ clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.02 0.03 9.01 v clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.02 0.00 9.01 v clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.05 0.13 9.14 v clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.06 0.01 9.15 v housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.08 0.15 9.30 v housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.28 0.12 9.42 v housekeeping/_6146_/A (sky130_fd_sc_hd__inv_2) - 0.09 0.14 9.56 ^ housekeeping/_6146_/Y (sky130_fd_sc_hd__inv_2) - 4 0.01 housekeeping/_1931_ (net) - 0.09 0.00 9.56 ^ housekeeping/_6950_/A (sky130_fd_sc_hd__or2_1) - 0.12 0.16 9.72 ^ housekeeping/_6950_/X (sky130_fd_sc_hd__or2_1) - 1 0.01 housekeeping/_2682_ (net) - 0.12 0.00 9.72 ^ housekeeping/_6951_/A (sky130_fd_sc_hd__clkbuf_4) - 0.13 0.22 9.94 ^ housekeeping/_6951_/X (sky130_fd_sc_hd__clkbuf_4) - 9 0.04 housekeeping/_2683_ (net) - 0.13 0.00 9.94 ^ housekeeping/_6953_/A2 (sky130_fd_sc_hd__o22a_1) - 0.04 0.13 10.07 ^ housekeeping/_6953_/X (sky130_fd_sc_hd__o22a_1) - 1 0.00 housekeeping/_0285_ (net) - 0.04 0.00 10.07 ^ housekeeping/_9035_/D (sky130_fd_sc_hd__dfxtp_1) - 10.07 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.14 0.22 15.36 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_2_2_0_wb_clk_i (net) - 0.14 0.00 15.36 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.28 0.32 15.68 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 12 0.05 housekeeping/clknet_3_5_0_wb_clk_i (net) - 0.28 0.01 15.69 ^ housekeeping/_9035_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.25 15.94 clock uncertainty - -5.78 10.16 clock reconvergence pessimism - 0.00 10.16 library hold time - 10.16 data required time ------------------------------------------------------------------------------ - 10.16 data required time - -10.07 data arrival time ------------------------------------------------------------------------------ - -0.09 slack (VIOLATED) - - -Startpoint: housekeeping/_9024_ - (rising edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31739_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.14 0.20 9.48 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_2_2_0_wb_clk_i (net) - 0.14 0.00 9.48 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.22 0.25 9.73 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net) - 0.22 0.00 9.73 ^ housekeeping/_9024_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.08 0.37 10.10 ^ housekeeping/_9024_/Q (sky130_fd_sc_hd__dfxtp_1) - 2 0.01 housekeeping/net354 (net) - 0.08 0.00 10.10 ^ housekeeping/output354/A (sky130_fd_sc_hd__buf_2) - 0.05 0.11 10.21 ^ housekeeping/output354/X (sky130_fd_sc_hd__buf_2) - 2 0.01 hk_dat_i[4] (net) - 0.06 0.01 10.22 ^ soc/core/input32/A (sky130_fd_sc_hd__buf_8) - 0.19 0.17 10.39 ^ soc/core/input32/X (sky130_fd_sc_hd__buf_8) - 2 0.11 soc/core/net32 (net) - 0.21 0.05 10.44 ^ soc/core/_23069_/B2 (sky130_fd_sc_hd__a22o_1) - 0.08 0.18 10.62 ^ soc/core/_23069_/X (sky130_fd_sc_hd__a22o_1) - 1 0.01 soc/core/_11350_ (net) - 0.08 0.00 10.62 ^ soc/core/_23070_/C1 (sky130_fd_sc_hd__a211o_2) - 0.02 0.09 10.71 ^ soc/core/_23070_/X (sky130_fd_sc_hd__a211o_2) - 1 0.00 soc/core/_11351_ (net) - 0.02 0.00 10.71 ^ soc/core/hold1095/A (sky130_fd_sc_hd__buf_2) - 0.21 0.20 10.92 ^ soc/core/hold1095/X (sky130_fd_sc_hd__buf_2) - 2 0.04 soc/core/net3961 (net) - 0.21 0.01 10.92 ^ soc/core/_23071_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.03 0.14 11.06 ^ soc/core/_23071_/X (sky130_fd_sc_hd__a2111o_4) - 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[4] (net) - 0.03 0.00 11.06 ^ soc/core/hold1093/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.18 0.60 11.66 ^ soc/core/hold1093/X (sky130_fd_sc_hd__dlygate4sd3_1) - 1 0.02 soc/core/net3960 (net) - 0.18 0.00 11.66 ^ soc/core/hold1094/A (sky130_fd_sc_hd__buf_6) - 0.22 0.24 11.90 ^ soc/core/hold1094/X (sky130_fd_sc_hd__buf_6) - 6 0.11 soc/core/net3959 (net) - 0.22 0.00 11.90 ^ soc/core/hold1707/A (sky130_fd_sc_hd__buf_6) - 0.20 0.24 12.14 ^ soc/core/hold1707/X (sky130_fd_sc_hd__buf_6) - 4 0.10 soc/core/net4573 (net) - 0.21 0.02 12.16 ^ soc/core/_31739_/D (sky130_fd_sc_hd__dfxtp_1) - 12.16 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 14.82 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 14.96 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.05 0.00 14.96 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 15.11 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.05 0.00 15.11 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 15.26 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.05 0.00 15.26 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.12 15.38 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.03 0.00 15.38 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.24 0.27 15.65 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.25 0.03 15.67 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 15.86 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_0_0_core_clk (net) - 0.03 0.00 15.86 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.52 0.45 16.31 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.18 soc/core/clknet_2_0_1_core_clk (net) - 0.54 0.07 16.38 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.25 16.64 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_3_1_0_core_clk (net) - 0.04 0.00 16.64 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.12 16.75 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_3_1_1_core_clk (net) - 0.03 0.00 16.75 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.16 16.91 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.02 soc/core/clknet_3_1_2_core_clk (net) - 0.08 0.00 16.91 ^ soc/core/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 17.10 ^ soc/core/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_3_0_core_clk (net) - 0.09 0.00 17.10 ^ soc/core/clkbuf_5_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.14 17.25 ^ soc/core/clkbuf_5_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_6_0_core_clk (net) - 0.04 0.00 17.25 ^ soc/core/clkbuf_5_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.36 0.37 17.61 ^ soc/core/clkbuf_5_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 16 0.12 soc/core/clknet_5_6_1_core_clk (net) - 0.36 0.01 17.63 ^ soc/core/clkbuf_leaf_15_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.06 0.24 17.87 ^ soc/core/clkbuf_leaf_15_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 10 0.04 soc/core/clknet_leaf_15_core_clk (net) - 0.06 0.00 17.87 ^ soc/core/_31739_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.25 18.12 clock uncertainty - -5.81 12.31 clock reconvergence pessimism - -0.06 12.25 library hold time - 12.25 data required time ------------------------------------------------------------------------------ - 12.25 data required time - -12.16 data arrival time ------------------------------------------------------------------------------ - -0.08 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9039_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.05 0.48 8.97 ^ clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.05 0.00 8.98 ^ clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.02 0.03 9.01 v clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.02 0.00 9.01 v clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.05 0.13 9.14 v clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.06 0.01 9.15 v housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.08 0.15 9.30 v housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.28 0.12 9.42 v housekeeping/_6146_/A (sky130_fd_sc_hd__inv_2) - 0.09 0.14 9.56 ^ housekeeping/_6146_/Y (sky130_fd_sc_hd__inv_2) - 4 0.01 housekeeping/_1931_ (net) - 0.09 0.00 9.56 ^ housekeeping/_6147_/A (sky130_fd_sc_hd__or2_1) - 0.13 0.16 9.73 ^ housekeeping/_6147_/X (sky130_fd_sc_hd__or2_1) - 1 0.01 housekeeping/_1932_ (net) - 0.13 0.00 9.73 ^ housekeeping/_6148_/A (sky130_fd_sc_hd__clkbuf_4) - 0.12 0.21 9.94 ^ housekeeping/_6148_/X (sky130_fd_sc_hd__clkbuf_4) - 9 0.04 housekeeping/_1933_ (net) - 0.12 0.00 9.94 ^ housekeeping/_6630_/A1 (sky130_fd_sc_hd__o22a_1) - 0.04 0.15 10.08 ^ housekeeping/_6630_/X (sky130_fd_sc_hd__o22a_1) - 1 0.00 housekeeping/_0289_ (net) - 0.04 0.00 10.08 ^ housekeeping/_9039_/D (sky130_fd_sc_hd__dfxtp_1) - 10.08 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.14 0.22 15.36 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_2_2_0_wb_clk_i (net) - 0.14 0.00 15.36 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.28 0.32 15.68 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 12 0.05 housekeeping/clknet_3_5_0_wb_clk_i (net) - 0.28 0.01 15.69 ^ housekeeping/_9039_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.25 15.94 clock uncertainty - -5.78 10.16 clock reconvergence pessimism - 0.00 10.16 library hold time - 10.16 data required time ------------------------------------------------------------------------------ - 10.16 data required time - -10.08 data arrival time ------------------------------------------------------------------------------ - -0.08 slack (VIOLATED) - - -Startpoint: housekeeping/_9119_ - (rising edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_35077_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.19 9.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 9.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.54 0.45 9.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) - 0.54 0.00 9.92 ^ housekeeping/_9119_/CLK (sky130_fd_sc_hd__dfrtp_4) - 0.16 0.58 10.51 ^ housekeeping/_9119_/Q (sky130_fd_sc_hd__dfrtp_4) - 2 0.05 housekeeping/net327 (net) - 0.16 0.01 10.51 ^ housekeeping/output327/A (sky130_fd_sc_hd__buf_2) - 0.04 0.13 10.65 ^ housekeeping/output327/X (sky130_fd_sc_hd__buf_2) - 2 0.01 hk_ack_i (net) - 0.04 0.01 10.65 ^ soc/core/input5/A (sky130_fd_sc_hd__buf_6) - 0.03 0.08 10.73 ^ soc/core/input5/X (sky130_fd_sc_hd__buf_6) - 1 0.01 soc/core/net5 (net) - 0.03 0.00 10.73 ^ soc/core/hold2048/A (sky130_fd_sc_hd__buf_6) - 0.15 0.15 10.88 ^ soc/core/hold2048/X (sky130_fd_sc_hd__buf_6) - 2 0.07 soc/core/net4914 (net) - 0.16 0.02 10.90 ^ soc/core/_15303_/B (sky130_fd_sc_hd__nor2_1) - 0.04 0.05 10.96 v soc/core/_15303_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 soc/core/_14967_ (net) - 0.04 0.00 10.96 v soc/core/hold1126/A (sky130_fd_sc_hd__clkbuf_1) - 0.09 0.13 11.08 v soc/core/hold1126/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.01 soc/core/net3992 (net) - 0.09 0.00 11.09 v soc/core/_15306_/A (sky130_fd_sc_hd__and3_1) - 0.06 0.18 11.27 v soc/core/_15306_/X (sky130_fd_sc_hd__and3_1) - 1 0.01 soc/core/_14970_ (net) - 0.06 0.00 11.27 v soc/core/_15307_/B1 (sky130_fd_sc_hd__o21a_2) - 0.07 0.15 11.42 v soc/core/_15307_/X (sky130_fd_sc_hd__o21a_2) - 1 0.02 soc/core/_14971_ (net) - 0.07 0.00 11.42 v soc/core/_15308_/B (sky130_fd_sc_hd__nand2_8) - 0.14 0.13 11.56 ^ soc/core/_15308_/Y (sky130_fd_sc_hd__nand2_8) - 10 0.07 soc/core/_14972_ (net) - 0.14 0.00 11.56 ^ soc/core/_15391_/A (sky130_fd_sc_hd__clkinv_2) - 0.11 0.13 11.69 v soc/core/_15391_/Y (sky130_fd_sc_hd__clkinv_2) - 4 0.03 soc/core/_06316_ (net) - 0.11 0.00 11.69 v soc/core/_15519_/A (sky130_fd_sc_hd__and3_1) - 0.03 0.16 11.84 v soc/core/_15519_/X (sky130_fd_sc_hd__and3_1) - 1 0.00 soc/core/_06405_ (net) - 0.03 0.00 11.84 v soc/core/_15520_/A (sky130_fd_sc_hd__clkbuf_4) - 0.12 0.20 12.04 v soc/core/_15520_/X (sky130_fd_sc_hd__clkbuf_4) - 16 0.06 soc/core/_06406_ (net) - 0.12 0.00 12.04 v soc/core/_15523_/A (sky130_fd_sc_hd__inv_2) - 0.23 0.21 12.25 ^ soc/core/_15523_/Y (sky130_fd_sc_hd__inv_2) - 12 0.05 soc/core/_06408_ (net) - 0.23 0.00 12.25 ^ soc/core/_15585_/B1 (sky130_fd_sc_hd__a21oi_1) - 0.04 0.06 12.31 v soc/core/_15585_/Y (sky130_fd_sc_hd__a21oi_1) - 1 0.00 soc/core/_06251_ (net) - 0.04 0.00 12.31 v soc/core/_35077_/D (sky130_fd_sc_hd__dfxtp_1) - 12.31 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 14.82 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 14.96 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 14.96 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 15.10 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 15.10 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 15.24 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 15.24 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 15.39 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 15.39 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.25 15.64 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 15.65 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.19 15.84 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_3_0_core_clk (net) - 0.04 0.00 15.84 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.15 0.22 16.06 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_3_1_core_clk (net) - 0.15 0.00 16.06 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.18 16.24 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_7_0_core_clk (net) - 0.05 0.00 16.24 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.13 16.38 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_7_1_core_clk (net) - 0.04 0.00 16.38 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.21 16.58 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_7_2_core_clk (net) - 0.14 0.01 16.59 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.22 16.81 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_14_0_core_clk (net) - 0.10 0.00 16.81 ^ soc/core/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 16.97 ^ soc/core/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_28_0_core_clk (net) - 0.04 0.00 16.97 ^ soc/core/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.74 0.64 17.61 ^ soc/core/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 32 0.25 soc/core/clknet_5_28_1_core_clk (net) - 0.74 0.03 17.64 ^ soc/core/clkbuf_leaf_225_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.10 0.33 17.97 ^ soc/core/clkbuf_leaf_225_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 24 0.07 soc/core/clknet_leaf_225_core_clk (net) - 0.10 0.00 17.97 ^ soc/core/_35077_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.25 18.22 clock uncertainty - -5.81 12.42 clock reconvergence pessimism - -0.04 12.38 library hold time - 12.38 data required time ------------------------------------------------------------------------------ - 12.38 data required time - -12.31 data arrival time ------------------------------------------------------------------------------ - -0.07 slack (VIOLATED) - - -Startpoint: housekeeping/_9119_ - (rising edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_35078_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.19 9.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 9.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.54 0.45 9.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) - 0.54 0.00 9.92 ^ housekeeping/_9119_/CLK (sky130_fd_sc_hd__dfrtp_4) - 0.16 0.58 10.51 ^ housekeeping/_9119_/Q (sky130_fd_sc_hd__dfrtp_4) - 2 0.05 housekeeping/net327 (net) - 0.16 0.01 10.51 ^ housekeeping/output327/A (sky130_fd_sc_hd__buf_2) - 0.04 0.13 10.65 ^ housekeeping/output327/X (sky130_fd_sc_hd__buf_2) - 2 0.01 hk_ack_i (net) - 0.04 0.01 10.65 ^ soc/core/input5/A (sky130_fd_sc_hd__buf_6) - 0.03 0.08 10.73 ^ soc/core/input5/X (sky130_fd_sc_hd__buf_6) - 1 0.01 soc/core/net5 (net) - 0.03 0.00 10.73 ^ soc/core/hold2048/A (sky130_fd_sc_hd__buf_6) - 0.15 0.15 10.88 ^ soc/core/hold2048/X (sky130_fd_sc_hd__buf_6) - 2 0.07 soc/core/net4914 (net) - 0.16 0.02 10.90 ^ soc/core/_15303_/B (sky130_fd_sc_hd__nor2_1) - 0.04 0.05 10.96 v soc/core/_15303_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 soc/core/_14967_ (net) - 0.04 0.00 10.96 v soc/core/hold1126/A (sky130_fd_sc_hd__clkbuf_1) - 0.09 0.13 11.08 v soc/core/hold1126/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.01 soc/core/net3992 (net) - 0.09 0.00 11.09 v soc/core/_15306_/A (sky130_fd_sc_hd__and3_1) - 0.06 0.18 11.27 v soc/core/_15306_/X (sky130_fd_sc_hd__and3_1) - 1 0.01 soc/core/_14970_ (net) - 0.06 0.00 11.27 v soc/core/_15307_/B1 (sky130_fd_sc_hd__o21a_2) - 0.07 0.15 11.42 v soc/core/_15307_/X (sky130_fd_sc_hd__o21a_2) - 1 0.02 soc/core/_14971_ (net) - 0.07 0.00 11.42 v soc/core/_15308_/B (sky130_fd_sc_hd__nand2_8) - 0.14 0.13 11.56 ^ soc/core/_15308_/Y (sky130_fd_sc_hd__nand2_8) - 10 0.07 soc/core/_14972_ (net) - 0.14 0.00 11.56 ^ soc/core/_15391_/A (sky130_fd_sc_hd__clkinv_2) - 0.11 0.13 11.69 v soc/core/_15391_/Y (sky130_fd_sc_hd__clkinv_2) - 4 0.03 soc/core/_06316_ (net) - 0.11 0.00 11.69 v soc/core/_15519_/A (sky130_fd_sc_hd__and3_1) - 0.03 0.16 11.84 v soc/core/_15519_/X (sky130_fd_sc_hd__and3_1) - 1 0.00 soc/core/_06405_ (net) - 0.03 0.00 11.84 v soc/core/_15520_/A (sky130_fd_sc_hd__clkbuf_4) - 0.12 0.20 12.04 v soc/core/_15520_/X (sky130_fd_sc_hd__clkbuf_4) - 16 0.06 soc/core/_06406_ (net) - 0.12 0.00 12.04 v soc/core/_15581_/B (sky130_fd_sc_hd__and3_1) - 0.04 0.18 12.23 v soc/core/_15581_/X (sky130_fd_sc_hd__and3_1) - 1 0.00 soc/core/_06450_ (net) - 0.04 0.00 12.23 v soc/core/_15582_/A (sky130_fd_sc_hd__clkbuf_1) - 0.02 0.08 12.30 v soc/core/_15582_/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/_06252_ (net) - 0.02 0.00 12.30 v soc/core/_35078_/D (sky130_fd_sc_hd__dfxtp_1) - 12.30 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 14.82 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 14.96 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 14.96 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 15.10 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 15.10 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 15.24 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 15.24 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 15.39 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 15.39 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.25 15.64 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 15.65 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.19 15.84 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_3_0_core_clk (net) - 0.04 0.00 15.84 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.15 0.22 16.06 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_3_1_core_clk (net) - 0.15 0.00 16.06 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.18 16.24 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_7_0_core_clk (net) - 0.05 0.00 16.24 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.13 16.38 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_7_1_core_clk (net) - 0.04 0.00 16.38 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.21 16.58 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_7_2_core_clk (net) - 0.14 0.01 16.59 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.22 16.81 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_14_0_core_clk (net) - 0.10 0.00 16.81 ^ soc/core/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 16.97 ^ soc/core/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_28_0_core_clk (net) - 0.04 0.00 16.97 ^ soc/core/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.74 0.64 17.61 ^ soc/core/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 32 0.25 soc/core/clknet_5_28_1_core_clk (net) - 0.74 0.03 17.64 ^ soc/core/clkbuf_leaf_224_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.08 0.32 17.96 ^ soc/core/clkbuf_leaf_224_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 16 0.05 soc/core/clknet_leaf_224_core_clk (net) - 0.08 0.00 17.96 ^ soc/core/_35078_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.25 18.21 clock uncertainty - -5.81 12.40 clock reconvergence pessimism - -0.04 12.36 library hold time - 12.36 data required time ------------------------------------------------------------------------------ - 12.36 data required time - -12.30 data arrival time ------------------------------------------------------------------------------ - -0.06 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9018_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.05 0.48 8.97 ^ clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.05 0.00 8.98 ^ clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.02 0.03 9.01 v clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.02 0.00 9.01 v clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.05 0.13 9.14 v clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.06 0.01 9.15 v housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.08 0.15 9.30 v housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.28 0.12 9.42 v housekeeping/_6146_/A (sky130_fd_sc_hd__inv_2) - 0.09 0.14 9.56 ^ housekeeping/_6146_/Y (sky130_fd_sc_hd__inv_2) - 4 0.01 housekeeping/_1931_ (net) - 0.09 0.00 9.56 ^ housekeeping/_6974_/B (sky130_fd_sc_hd__or2_1) - 0.15 0.18 9.74 ^ housekeeping/_6974_/X (sky130_fd_sc_hd__or2_1) - 1 0.02 housekeeping/_2690_ (net) - 0.15 0.00 9.74 ^ housekeeping/_6975_/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.23 9.97 ^ housekeeping/_6975_/X (sky130_fd_sc_hd__clkbuf_4) - 9 0.04 housekeeping/_2691_ (net) - 0.14 0.00 9.97 ^ housekeeping/_6978_/A2 (sky130_fd_sc_hd__o22a_1) - 0.04 0.13 10.11 ^ housekeeping/_6978_/X (sky130_fd_sc_hd__o22a_1) - 1 0.00 housekeeping/_0268_ (net) - 0.04 0.00 10.11 ^ housekeeping/_9018_/D (sky130_fd_sc_hd__dfxtp_1) - 10.11 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.14 0.22 15.36 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_2_2_0_wb_clk_i (net) - 0.14 0.00 15.36 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.28 0.32 15.68 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 12 0.05 housekeeping/clknet_3_5_0_wb_clk_i (net) - 0.28 0.00 15.69 ^ housekeeping/_9018_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.25 15.94 clock uncertainty - -5.78 10.16 clock reconvergence pessimism - 0.00 10.16 library hold time - 10.16 data required time ------------------------------------------------------------------------------ - 10.16 data required time - -10.11 data arrival time ------------------------------------------------------------------------------ - -0.05 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9019_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.05 0.48 8.97 ^ clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.05 0.00 8.98 ^ clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.02 0.03 9.01 v clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.02 0.00 9.01 v clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.05 0.13 9.14 v clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.06 0.01 9.15 v housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.08 0.15 9.30 v housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.28 0.12 9.42 v housekeeping/_6146_/A (sky130_fd_sc_hd__inv_2) - 0.09 0.14 9.56 ^ housekeeping/_6146_/Y (sky130_fd_sc_hd__inv_2) - 4 0.01 housekeeping/_1931_ (net) - 0.09 0.00 9.56 ^ housekeeping/_6974_/B (sky130_fd_sc_hd__or2_1) - 0.15 0.18 9.74 ^ housekeeping/_6974_/X (sky130_fd_sc_hd__or2_1) - 1 0.02 housekeeping/_2690_ (net) - 0.15 0.00 9.74 ^ housekeeping/_6975_/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.23 9.97 ^ housekeeping/_6975_/X (sky130_fd_sc_hd__clkbuf_4) - 9 0.04 housekeeping/_2691_ (net) - 0.14 0.00 9.97 ^ housekeeping/_6977_/A2 (sky130_fd_sc_hd__o22a_1) - 0.04 0.13 10.11 ^ housekeeping/_6977_/X (sky130_fd_sc_hd__o22a_1) - 1 0.00 housekeeping/_0269_ (net) - 0.04 0.00 10.11 ^ housekeeping/_9019_/D (sky130_fd_sc_hd__dfxtp_1) - 10.11 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.14 0.22 15.36 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_2_2_0_wb_clk_i (net) - 0.14 0.00 15.36 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.28 0.32 15.68 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 12 0.05 housekeeping/clknet_3_5_0_wb_clk_i (net) - 0.28 0.00 15.68 ^ housekeeping/_9019_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.25 15.93 clock uncertainty - -5.78 10.16 clock reconvergence pessimism - 0.00 10.16 library hold time - 10.16 data required time ------------------------------------------------------------------------------ - 10.16 data required time - -10.11 data arrival time ------------------------------------------------------------------------------ - -0.05 slack (VIOLATED) - - -Startpoint: housekeeping/_9041_ - (rising edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_32680_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.19 9.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 9.47 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.43 0.37 9.85 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) - 0.43 0.01 9.86 ^ housekeeping/_9041_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.15 0.46 10.32 ^ housekeeping/_9041_/Q (sky130_fd_sc_hd__dfxtp_1) - 2 0.02 housekeeping/net349 (net) - 0.15 0.00 10.32 ^ housekeeping/output349/A (sky130_fd_sc_hd__buf_2) - 0.03 0.12 10.44 ^ housekeeping/output349/X (sky130_fd_sc_hd__buf_2) - 2 0.00 hk_dat_i[29] (net) - 0.03 0.00 10.45 ^ soc/core/input27/A (sky130_fd_sc_hd__buf_4) - 0.02 0.08 10.53 ^ soc/core/input27/X (sky130_fd_sc_hd__buf_4) - 1 0.00 soc/core/net27 (net) - 0.02 0.00 10.53 ^ soc/core/hold1248/A (sky130_fd_sc_hd__buf_4) - 0.19 0.20 10.73 ^ soc/core/hold1248/X (sky130_fd_sc_hd__buf_4) - 2 0.07 soc/core/net4114 (net) - 0.20 0.00 10.74 ^ soc/core/_22863_/B (sky130_fd_sc_hd__and2_1) - 0.07 0.16 10.90 ^ soc/core/_22863_/X (sky130_fd_sc_hd__and2_1) - 1 0.01 soc/core/_11194_ (net) - 0.07 0.00 10.90 ^ soc/core/_22864_/C1 (sky130_fd_sc_hd__a221o_4) - 0.05 0.11 11.01 ^ soc/core/_22864_/X (sky130_fd_sc_hd__a221o_4) - 1 0.01 soc/core/_11195_ (net) - 0.05 0.00 11.01 ^ soc/core/hold1207/A (sky130_fd_sc_hd__buf_12) - 0.20 0.17 11.18 ^ soc/core/hold1207/X (sky130_fd_sc_hd__buf_12) - 2 0.18 soc/core/net4073 (net) - 0.25 0.07 11.25 ^ soc/core/_22865_/C1 (sky130_fd_sc_hd__a221o_1) - 0.04 0.14 11.39 ^ soc/core/_22865_/X (sky130_fd_sc_hd__a221o_1) - 1 0.00 soc/core/_11196_ (net) - 0.04 0.00 11.39 ^ soc/core/hold1209/A (sky130_fd_sc_hd__clkdlybuf4s50_1) - 0.09 0.48 11.86 ^ soc/core/hold1209/X (sky130_fd_sc_hd__clkdlybuf4s50_1) - 1 0.01 soc/core/net4075 (net) - 0.09 0.00 11.87 ^ soc/core/_22866_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.03 0.11 11.97 ^ soc/core/_22866_/X (sky130_fd_sc_hd__a2111o_4) - 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[29] (net) - 0.03 0.00 11.97 ^ soc/core/hold1208/A (sky130_fd_sc_hd__buf_4) - 0.16 0.17 12.14 ^ soc/core/hold1208/X (sky130_fd_sc_hd__buf_4) - 8 0.05 soc/core/net4074 (net) - 0.16 0.01 12.15 ^ soc/core/_22867_/A1 (sky130_fd_sc_hd__mux2_1) - 0.04 0.14 12.29 ^ soc/core/_22867_/X (sky130_fd_sc_hd__mux2_1) - 1 0.00 soc/core/_11197_ (net) - 0.04 0.00 12.29 ^ soc/core/_22868_/A (sky130_fd_sc_hd__clkbuf_1) - 0.04 0.07 12.36 ^ soc/core/_22868_/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/_03854_ (net) - 0.04 0.00 12.36 ^ soc/core/_32680_/D (sky130_fd_sc_hd__dfxtp_1) - 12.36 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 14.82 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 14.96 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.05 0.00 14.96 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 15.11 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.05 0.00 15.11 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 15.26 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.05 0.00 15.26 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.12 15.38 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.03 0.00 15.38 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.24 0.27 15.65 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.25 0.03 15.67 ^ soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 15.87 ^ soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_1_0_core_clk (net) - 0.03 0.00 15.87 ^ soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.11 0.18 16.05 ^ soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_2_1_1_core_clk (net) - 0.11 0.00 16.05 ^ soc/core/clkbuf_3_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.06 0.17 16.23 ^ soc/core/clkbuf_3_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_3_0_core_clk (net) - 0.06 0.00 16.23 ^ soc/core/clkbuf_3_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.14 16.36 ^ soc/core/clkbuf_3_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_3_1_core_clk (net) - 0.04 0.00 16.36 ^ soc/core/clkbuf_3_3_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.21 16.57 ^ soc/core/clkbuf_3_3_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_3_2_core_clk (net) - 0.14 0.01 16.58 ^ soc/core/clkbuf_4_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.11 0.23 16.81 ^ soc/core/clkbuf_4_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_6_0_core_clk (net) - 0.11 0.00 16.81 ^ soc/core/clkbuf_5_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.16 16.97 ^ soc/core/clkbuf_5_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_13_0_core_clk (net) - 0.04 0.00 16.97 ^ soc/core/clkbuf_5_13_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.76 0.66 17.63 ^ soc/core/clkbuf_5_13_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 32 0.26 soc/core/clknet_5_13_1_core_clk (net) - 0.76 0.02 17.65 ^ soc/core/clkbuf_leaf_106_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.10 0.33 17.98 ^ soc/core/clkbuf_leaf_106_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 28 0.07 soc/core/clknet_leaf_106_core_clk (net) - 0.10 0.01 17.99 ^ soc/core/_32680_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.25 18.24 clock uncertainty - -5.81 12.43 clock reconvergence pessimism - -0.02 12.41 library hold time - 12.41 data required time ------------------------------------------------------------------------------ - 12.41 data required time - -12.36 data arrival time ------------------------------------------------------------------------------ - -0.05 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9016_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.05 0.48 8.97 ^ clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.05 0.00 8.98 ^ clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.02 0.03 9.01 v clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.02 0.00 9.01 v clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.05 0.13 9.14 v clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.06 0.01 9.15 v housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.08 0.15 9.30 v housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.28 0.12 9.42 v housekeeping/_6146_/A (sky130_fd_sc_hd__inv_2) - 0.09 0.14 9.56 ^ housekeeping/_6146_/Y (sky130_fd_sc_hd__inv_2) - 4 0.01 housekeeping/_1931_ (net) - 0.09 0.00 9.56 ^ housekeeping/_6974_/B (sky130_fd_sc_hd__or2_1) - 0.15 0.18 9.74 ^ housekeeping/_6974_/X (sky130_fd_sc_hd__or2_1) - 1 0.02 housekeeping/_2690_ (net) - 0.15 0.00 9.74 ^ housekeeping/_6975_/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.23 9.97 ^ housekeeping/_6975_/X (sky130_fd_sc_hd__clkbuf_4) - 9 0.04 housekeeping/_2691_ (net) - 0.14 0.00 9.97 ^ housekeeping/_6980_/A2 (sky130_fd_sc_hd__o22a_2) - 0.03 0.14 10.11 ^ housekeeping/_6980_/X (sky130_fd_sc_hd__o22a_2) - 1 0.00 housekeeping/_0266_ (net) - 0.03 0.00 10.11 ^ housekeeping/_9016_/D (sky130_fd_sc_hd__dfxtp_1) - 10.11 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.14 0.22 15.36 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_2_2_0_wb_clk_i (net) - 0.14 0.00 15.36 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.28 0.32 15.68 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 12 0.05 housekeeping/clknet_3_5_0_wb_clk_i (net) - 0.28 0.00 15.68 ^ housekeeping/_9016_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.25 15.93 clock uncertainty - -5.78 10.16 clock reconvergence pessimism - 0.00 10.16 library hold time - 10.16 data required time ------------------------------------------------------------------------------ - 10.16 data required time - -10.11 data arrival time ------------------------------------------------------------------------------ - -0.05 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9013_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.05 0.48 8.97 ^ clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.05 0.00 8.98 ^ clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.02 0.03 9.01 v clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.02 0.00 9.01 v clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.05 0.13 9.14 v clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.06 0.01 9.15 v housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.08 0.15 9.30 v housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.28 0.12 9.42 v housekeeping/_6146_/A (sky130_fd_sc_hd__inv_2) - 0.09 0.14 9.56 ^ housekeeping/_6146_/Y (sky130_fd_sc_hd__inv_2) - 4 0.01 housekeeping/_1931_ (net) - 0.09 0.00 9.56 ^ housekeeping/_6974_/B (sky130_fd_sc_hd__or2_1) - 0.15 0.18 9.74 ^ housekeeping/_6974_/X (sky130_fd_sc_hd__or2_1) - 1 0.02 housekeeping/_2690_ (net) - 0.15 0.00 9.74 ^ housekeeping/_6975_/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.23 9.97 ^ housekeeping/_6975_/X (sky130_fd_sc_hd__clkbuf_4) - 9 0.04 housekeeping/_2691_ (net) - 0.14 0.00 9.98 ^ housekeeping/_6983_/A2 (sky130_fd_sc_hd__o22a_1) - 0.04 0.14 10.11 ^ housekeeping/_6983_/X (sky130_fd_sc_hd__o22a_1) - 1 0.00 housekeeping/_0263_ (net) - 0.04 0.00 10.11 ^ housekeeping/_9013_/D (sky130_fd_sc_hd__dfxtp_1) - 10.11 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.14 0.22 15.36 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_2_2_0_wb_clk_i (net) - 0.14 0.00 15.36 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.28 0.32 15.68 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 12 0.05 housekeeping/clknet_3_5_0_wb_clk_i (net) - 0.28 0.00 15.68 ^ housekeeping/_9013_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.25 15.93 clock uncertainty - -5.78 10.16 clock reconvergence pessimism - 0.00 10.16 library hold time - 10.16 data required time ------------------------------------------------------------------------------ - 10.16 data required time - -10.11 data arrival time ------------------------------------------------------------------------------ - -0.05 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9015_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.05 0.48 8.97 ^ clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.05 0.00 8.98 ^ clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.02 0.03 9.01 v clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.02 0.00 9.01 v clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.05 0.13 9.14 v clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.06 0.01 9.15 v housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.08 0.15 9.30 v housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.28 0.12 9.42 v housekeeping/_6146_/A (sky130_fd_sc_hd__inv_2) - 0.09 0.14 9.56 ^ housekeeping/_6146_/Y (sky130_fd_sc_hd__inv_2) - 4 0.01 housekeeping/_1931_ (net) - 0.09 0.00 9.56 ^ housekeeping/_6974_/B (sky130_fd_sc_hd__or2_1) - 0.15 0.18 9.74 ^ housekeeping/_6974_/X (sky130_fd_sc_hd__or2_1) - 1 0.02 housekeeping/_2690_ (net) - 0.15 0.00 9.74 ^ housekeeping/_6975_/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.23 9.97 ^ housekeeping/_6975_/X (sky130_fd_sc_hd__clkbuf_4) - 9 0.04 housekeeping/_2691_ (net) - 0.14 0.00 9.98 ^ housekeeping/_6981_/A2 (sky130_fd_sc_hd__o22a_1) - 0.04 0.14 10.11 ^ housekeeping/_6981_/X (sky130_fd_sc_hd__o22a_1) - 1 0.00 housekeeping/_0265_ (net) - 0.04 0.00 10.11 ^ housekeeping/_9015_/D (sky130_fd_sc_hd__dfxtp_1) - 10.11 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.82 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 14.82 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 14.93 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 14.93 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.20 15.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 15.14 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.14 0.22 15.36 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_2_2_0_wb_clk_i (net) - 0.14 0.00 15.36 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.28 0.32 15.68 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 12 0.05 housekeeping/clknet_3_5_0_wb_clk_i (net) - 0.28 0.00 15.68 ^ housekeeping/_9015_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.25 15.93 clock uncertainty - -5.78 10.16 clock reconvergence pessimism - 0.00 10.16 library hold time - 10.16 data required time ------------------------------------------------------------------------------ - 10.16 data required time - -10.11 data arrival time ------------------------------------------------------------------------------ - -0.05 slack (VIOLATED) - - -Startpoint: housekeeping/_9119_ - (rising edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31243_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.19 9.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 9.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.54 0.45 9.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) - 0.54 0.00 9.92 ^ housekeeping/_9119_/CLK (sky130_fd_sc_hd__dfrtp_4) - 0.16 0.58 10.51 ^ housekeeping/_9119_/Q (sky130_fd_sc_hd__dfrtp_4) - 2 0.05 housekeeping/net327 (net) - 0.16 0.01 10.51 ^ housekeeping/output327/A (sky130_fd_sc_hd__buf_2) - 0.04 0.13 10.65 ^ housekeeping/output327/X (sky130_fd_sc_hd__buf_2) - 2 0.01 hk_ack_i (net) - 0.04 0.01 10.65 ^ soc/core/input5/A (sky130_fd_sc_hd__buf_6) - 0.03 0.08 10.73 ^ soc/core/input5/X (sky130_fd_sc_hd__buf_6) - 1 0.01 soc/core/net5 (net) - 0.03 0.00 10.73 ^ soc/core/hold2048/A (sky130_fd_sc_hd__buf_6) - 0.15 0.15 10.88 ^ soc/core/hold2048/X (sky130_fd_sc_hd__buf_6) - 2 0.07 soc/core/net4914 (net) - 0.16 0.02 10.90 ^ soc/core/_15303_/B (sky130_fd_sc_hd__nor2_1) - 0.04 0.05 10.96 v soc/core/_15303_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 soc/core/_14967_ (net) - 0.04 0.00 10.96 v soc/core/hold1126/A (sky130_fd_sc_hd__clkbuf_1) - 0.09 0.13 11.08 v soc/core/hold1126/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.01 soc/core/net3992 (net) - 0.09 0.00 11.09 v soc/core/_15306_/A (sky130_fd_sc_hd__and3_1) - 0.06 0.18 11.27 v soc/core/_15306_/X (sky130_fd_sc_hd__and3_1) - 1 0.01 soc/core/_14970_ (net) - 0.06 0.00 11.27 v soc/core/_15307_/B1 (sky130_fd_sc_hd__o21a_2) - 0.07 0.15 11.42 v soc/core/_15307_/X (sky130_fd_sc_hd__o21a_2) - 1 0.02 soc/core/_14971_ (net) - 0.07 0.00 11.42 v soc/core/_15308_/B (sky130_fd_sc_hd__nand2_8) - 0.14 0.13 11.56 ^ soc/core/_15308_/Y (sky130_fd_sc_hd__nand2_8) - 10 0.07 soc/core/_14972_ (net) - 0.14 0.01 11.57 ^ soc/core/_19116_/A (sky130_fd_sc_hd__and2_1) - 0.18 0.23 11.79 ^ soc/core/_19116_/X (sky130_fd_sc_hd__and2_1) - 2 0.02 soc/core/_08862_ (net) - 0.18 0.00 11.80 ^ soc/core/_19117_/A (sky130_fd_sc_hd__clkbuf_16) - 0.16 0.22 12.02 ^ soc/core/_19117_/X (sky130_fd_sc_hd__clkbuf_16) - 16 0.16 soc/core/_08863_ (net) - 0.22 0.07 12.09 ^ soc/core/_24933_/A (sky130_fd_sc_hd__nand2_1) - 0.10 0.12 12.21 v soc/core/_24933_/Y (sky130_fd_sc_hd__nand2_1) - 2 0.01 soc/core/_12359_ (net) - 0.10 0.00 12.21 v soc/core/_24934_/A (sky130_fd_sc_hd__clkinv_2) - 0.10 0.11 12.32 ^ soc/core/_24934_/Y (sky130_fd_sc_hd__clkinv_2) - 5 0.03 soc/core/_12360_ (net) - 0.10 0.00 12.32 ^ soc/core/_24991_/A2 (sky130_fd_sc_hd__a221o_1) - 0.04 0.14 12.46 ^ soc/core/_24991_/X (sky130_fd_sc_hd__a221o_1) - 1 0.00 soc/core/_02964_ (net) - 0.04 0.00 12.46 ^ soc/core/hold1202/A (sky130_fd_sc_hd__clkdlybuf4s25_1) - 0.04 0.23 12.69 ^ soc/core/hold1202/X (sky130_fd_sc_hd__clkdlybuf4s25_1) - 1 0.00 soc/core/net4068 (net) - 0.04 0.00 12.69 ^ soc/core/_31243_/D (sky130_fd_sc_hd__dfxtp_2) - 12.69 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 14.82 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 14.96 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.05 0.00 14.96 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 15.11 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.05 0.00 15.11 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 15.26 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.05 0.00 15.26 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.12 15.38 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.03 0.00 15.38 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.24 0.27 15.65 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.25 0.03 15.67 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 15.86 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_0_0_core_clk (net) - 0.03 0.00 15.86 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.52 0.45 16.31 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.18 soc/core/clknet_2_0_1_core_clk (net) - 0.54 0.07 16.38 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.25 16.64 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_3_1_0_core_clk (net) - 0.04 0.00 16.64 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.12 16.75 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_3_1_1_core_clk (net) - 0.03 0.00 16.75 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.16 16.91 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.02 soc/core/clknet_3_1_2_core_clk (net) - 0.08 0.00 16.91 ^ soc/core/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 17.10 ^ soc/core/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_3_0_core_clk (net) - 0.09 0.00 17.10 ^ soc/core/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 17.25 ^ soc/core/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_7_0_core_clk (net) - 0.04 0.00 17.25 ^ soc/core/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.52 0.48 17.73 ^ soc/core/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 24 0.18 soc/core/clknet_5_7_1_core_clk (net) - 0.52 0.01 17.75 ^ soc/core/clkbuf_opt_19_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.25 18.00 ^ soc/core/clkbuf_opt_19_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.01 soc/core/clknet_opt_19_0_core_clk (net) - 0.05 0.00 18.00 ^ soc/core/clkbuf_opt_19_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.14 18.13 ^ soc/core/clkbuf_opt_19_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_19_1_core_clk (net) - 0.05 0.00 18.14 ^ soc/core/clkbuf_leaf_109_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.09 0.17 18.31 ^ soc/core/clkbuf_leaf_109_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 22 0.07 soc/core/clknet_leaf_109_core_clk (net) - 0.09 0.00 18.31 ^ soc/core/_31243_/CLK (sky130_fd_sc_hd__dfxtp_2) - 0.25 18.56 clock uncertainty - -5.81 12.75 clock reconvergence pessimism - -0.03 12.73 library hold time - 12.73 data required time ------------------------------------------------------------------------------ - 12.73 data required time - -12.69 data arrival time ------------------------------------------------------------------------------ - -0.04 slack (VIOLATED) - - -Startpoint: housekeeping/_9119_ - (rising edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_35079_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.19 9.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 9.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.54 0.45 9.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) - 0.54 0.00 9.92 ^ housekeeping/_9119_/CLK (sky130_fd_sc_hd__dfrtp_4) - 0.16 0.58 10.51 ^ housekeeping/_9119_/Q (sky130_fd_sc_hd__dfrtp_4) - 2 0.05 housekeeping/net327 (net) - 0.16 0.01 10.51 ^ housekeeping/output327/A (sky130_fd_sc_hd__buf_2) - 0.04 0.13 10.65 ^ housekeeping/output327/X (sky130_fd_sc_hd__buf_2) - 2 0.01 hk_ack_i (net) - 0.04 0.01 10.65 ^ soc/core/input5/A (sky130_fd_sc_hd__buf_6) - 0.03 0.08 10.73 ^ soc/core/input5/X (sky130_fd_sc_hd__buf_6) - 1 0.01 soc/core/net5 (net) - 0.03 0.00 10.73 ^ soc/core/hold2048/A (sky130_fd_sc_hd__buf_6) - 0.15 0.15 10.88 ^ soc/core/hold2048/X (sky130_fd_sc_hd__buf_6) - 2 0.07 soc/core/net4914 (net) - 0.16 0.02 10.90 ^ soc/core/_15303_/B (sky130_fd_sc_hd__nor2_1) - 0.04 0.05 10.96 v soc/core/_15303_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 soc/core/_14967_ (net) - 0.04 0.00 10.96 v soc/core/hold1126/A (sky130_fd_sc_hd__clkbuf_1) - 0.09 0.13 11.08 v soc/core/hold1126/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.01 soc/core/net3992 (net) - 0.09 0.00 11.09 v soc/core/_15306_/A (sky130_fd_sc_hd__and3_1) - 0.06 0.18 11.27 v soc/core/_15306_/X (sky130_fd_sc_hd__and3_1) - 1 0.01 soc/core/_14970_ (net) - 0.06 0.00 11.27 v soc/core/_15307_/B1 (sky130_fd_sc_hd__o21a_2) - 0.07 0.15 11.42 v soc/core/_15307_/X (sky130_fd_sc_hd__o21a_2) - 1 0.02 soc/core/_14971_ (net) - 0.07 0.00 11.42 v soc/core/_15308_/B (sky130_fd_sc_hd__nand2_8) - 0.14 0.13 11.56 ^ soc/core/_15308_/Y (sky130_fd_sc_hd__nand2_8) - 10 0.07 soc/core/_14972_ (net) - 0.14 0.00 11.56 ^ soc/core/_15391_/A (sky130_fd_sc_hd__clkinv_2) - 0.11 0.13 11.69 v soc/core/_15391_/Y (sky130_fd_sc_hd__clkinv_2) - 4 0.03 soc/core/_06316_ (net) - 0.11 0.00 11.69 v soc/core/_15519_/A (sky130_fd_sc_hd__and3_1) - 0.03 0.16 11.84 v soc/core/_15519_/X (sky130_fd_sc_hd__and3_1) - 1 0.00 soc/core/_06405_ (net) - 0.03 0.00 11.84 v soc/core/_15520_/A (sky130_fd_sc_hd__clkbuf_4) - 0.12 0.20 12.04 v soc/core/_15520_/X (sky130_fd_sc_hd__clkbuf_4) - 16 0.06 soc/core/_06406_ (net) - 0.12 0.00 12.04 v soc/core/_15523_/A (sky130_fd_sc_hd__inv_2) - 0.23 0.21 12.25 ^ soc/core/_15523_/Y (sky130_fd_sc_hd__inv_2) - 12 0.05 soc/core/_06408_ (net) - 0.23 0.00 12.25 ^ soc/core/_15577_/B1 (sky130_fd_sc_hd__a21oi_1) - 0.04 0.06 12.32 v soc/core/_15577_/Y (sky130_fd_sc_hd__a21oi_1) - 1 0.00 soc/core/_06253_ (net) - 0.04 0.00 12.32 v soc/core/_35079_/D (sky130_fd_sc_hd__dfxtp_1) - 12.32 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 14.82 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 14.96 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 14.96 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 15.10 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 15.10 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 15.24 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 15.24 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 15.39 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 15.39 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.25 15.64 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 15.65 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.19 15.84 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_3_0_core_clk (net) - 0.04 0.00 15.84 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.15 0.22 16.06 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_3_1_core_clk (net) - 0.15 0.00 16.06 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.18 16.24 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_7_0_core_clk (net) - 0.05 0.00 16.24 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.13 16.38 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_7_1_core_clk (net) - 0.04 0.00 16.38 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.21 16.58 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_7_2_core_clk (net) - 0.14 0.01 16.59 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.22 16.81 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_14_0_core_clk (net) - 0.10 0.00 16.81 ^ soc/core/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 16.97 ^ soc/core/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_28_0_core_clk (net) - 0.04 0.00 16.97 ^ soc/core/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.74 0.64 17.61 ^ soc/core/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 32 0.25 soc/core/clknet_5_28_1_core_clk (net) - 0.74 0.03 17.64 ^ soc/core/clkbuf_leaf_224_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.08 0.32 17.96 ^ soc/core/clkbuf_leaf_224_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 16 0.05 soc/core/clknet_leaf_224_core_clk (net) - 0.08 0.00 17.96 ^ soc/core/_35079_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.25 18.21 clock uncertainty - -5.81 12.40 clock reconvergence pessimism - -0.04 12.36 library hold time - 12.36 data required time ------------------------------------------------------------------------------ - 12.36 data required time - -12.32 data arrival time ------------------------------------------------------------------------------ - -0.04 slack (VIOLATED) - - -Startpoint: housekeeping/_9119_ - (rising edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_33811_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.19 9.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 9.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.54 0.45 9.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) - 0.54 0.00 9.92 ^ housekeeping/_9119_/CLK (sky130_fd_sc_hd__dfrtp_4) - 0.12 0.63 10.55 v housekeeping/_9119_/Q (sky130_fd_sc_hd__dfrtp_4) - 2 0.05 housekeeping/net327 (net) - 0.12 0.01 10.56 v housekeeping/output327/A (sky130_fd_sc_hd__buf_2) - 0.03 0.15 10.70 v housekeeping/output327/X (sky130_fd_sc_hd__buf_2) - 2 0.01 hk_ack_i (net) - 0.03 0.01 10.71 v soc/core/input5/A (sky130_fd_sc_hd__buf_6) - 0.02 0.10 10.81 v soc/core/input5/X (sky130_fd_sc_hd__buf_6) - 1 0.01 soc/core/net5 (net) - 0.02 0.00 10.81 v soc/core/hold2048/A (sky130_fd_sc_hd__buf_6) - 0.07 0.14 10.94 v soc/core/hold2048/X (sky130_fd_sc_hd__buf_6) - 2 0.07 soc/core/net4914 (net) - 0.08 0.02 10.96 v soc/core/_15303_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.09 11.05 ^ soc/core/_15303_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 soc/core/_14967_ (net) - 0.07 0.00 11.05 ^ soc/core/hold1126/A (sky130_fd_sc_hd__clkbuf_1) - 0.18 0.17 11.22 ^ soc/core/hold1126/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.01 soc/core/net3992 (net) - 0.18 0.00 11.23 ^ soc/core/_15306_/A (sky130_fd_sc_hd__and3_1) - 0.11 0.22 11.44 ^ soc/core/_15306_/X (sky130_fd_sc_hd__and3_1) - 1 0.01 soc/core/_14970_ (net) - 0.11 0.00 11.44 ^ soc/core/_15307_/B1 (sky130_fd_sc_hd__o21a_2) - 0.12 0.20 11.64 ^ soc/core/_15307_/X (sky130_fd_sc_hd__o21a_2) - 1 0.02 soc/core/_14971_ (net) - 0.12 0.00 11.64 ^ soc/core/_15308_/B (sky130_fd_sc_hd__nand2_8) - 0.10 0.11 11.75 v soc/core/_15308_/Y (sky130_fd_sc_hd__nand2_8) - 10 0.07 soc/core/_14972_ (net) - 0.11 0.01 11.76 v soc/core/_15313_/A (sky130_fd_sc_hd__nand2_8) - 0.13 0.14 11.90 ^ soc/core/_15313_/Y (sky130_fd_sc_hd__nand2_8) - 6 0.07 soc/core/_14977_ (net) - 0.13 0.01 11.91 ^ soc/core/_15315_/A (sky130_fd_sc_hd__nand2_2) - 0.03 0.05 11.96 v soc/core/_15315_/Y (sky130_fd_sc_hd__nand2_2) - 1 0.00 soc/core/_14979_ (net) - 0.03 0.00 11.96 v soc/core/hold2047/A (sky130_fd_sc_hd__clkbuf_2) - 0.08 0.14 12.10 v soc/core/hold2047/X (sky130_fd_sc_hd__clkbuf_2) - 4 0.02 soc/core/net4913 (net) - 0.08 0.00 12.10 v soc/core/_15316_/A (sky130_fd_sc_hd__clkinv_2) - 0.09 0.10 12.20 ^ soc/core/_15316_/Y (sky130_fd_sc_hd__clkinv_2) - 5 0.03 soc/core/_14980_ (net) - 0.09 0.00 12.20 ^ soc/core/_28291_/A2 (sky130_fd_sc_hd__o21ai_1) - 0.04 0.06 12.26 v soc/core/_28291_/Y (sky130_fd_sc_hd__o21ai_1) - 1 0.00 soc/core/_14553_ (net) - 0.04 0.00 12.26 v soc/core/_28292_/B1 (sky130_fd_sc_hd__o21ai_1) - 0.10 0.08 12.34 ^ soc/core/_28292_/Y (sky130_fd_sc_hd__o21ai_1) - 1 0.00 soc/core/_02168_ (net) - 0.10 0.00 12.34 ^ soc/core/_29971_/A1 (sky130_fd_sc_hd__mux2_1) - 0.04 0.12 12.46 ^ soc/core/_29971_/X (sky130_fd_sc_hd__mux2_1) - 1 0.00 soc/core/_00167_ (net) - 0.04 0.00 12.46 ^ soc/core/_19944_/B (sky130_fd_sc_hd__and2_1) - 0.05 0.11 12.57 ^ soc/core/_19944_/X (sky130_fd_sc_hd__and2_1) - 1 0.00 soc/core/_09434_ (net) - 0.05 0.00 12.57 ^ soc/core/_19945_/A (sky130_fd_sc_hd__clkbuf_1) - 0.04 0.07 12.65 ^ soc/core/_19945_/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/_04985_ (net) - 0.04 0.00 12.65 ^ soc/core/_33811_/D (sky130_fd_sc_hd__dfxtp_1) - 12.65 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 14.82 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 14.96 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 14.96 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 15.10 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 15.10 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 15.24 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 15.24 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 15.39 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 15.39 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.25 15.64 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 15.65 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.19 15.84 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_3_0_core_clk (net) - 0.04 0.00 15.84 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.15 0.22 16.06 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_3_1_core_clk (net) - 0.15 0.00 16.06 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.18 16.25 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_6_0_core_clk (net) - 0.05 0.00 16.25 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.13 16.38 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_6_1_core_clk (net) - 0.04 0.00 16.38 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.13 0.20 16.58 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_6_2_core_clk (net) - 0.13 0.00 16.59 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.22 16.80 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_12_0_core_clk (net) - 0.10 0.00 16.80 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.16 16.96 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_25_0_core_clk (net) - 0.04 0.00 16.96 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.84 0.72 17.68 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 36 0.29 soc/core/clknet_5_25_1_core_clk (net) - 0.84 0.02 17.70 ^ soc/core/clkbuf_opt_42_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.06 0.29 17.99 ^ soc/core/clkbuf_opt_42_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_42_0_core_clk (net) - 0.06 0.00 17.99 ^ soc/core/clkbuf_opt_42_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.13 18.12 ^ soc/core/clkbuf_opt_42_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_42_1_core_clk (net) - 0.04 0.00 18.12 ^ soc/core/clkbuf_leaf_234_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.06 0.14 18.27 ^ soc/core/clkbuf_leaf_234_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 9 0.04 soc/core/clknet_leaf_234_core_clk (net) - 0.06 0.00 18.27 ^ soc/core/_33811_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.25 18.52 clock uncertainty - -5.81 12.71 clock reconvergence pessimism - -0.03 12.68 library hold time - 12.68 data required time ------------------------------------------------------------------------------ - 12.68 data required time - -12.65 data arrival time ------------------------------------------------------------------------------ - -0.04 slack (VIOLATED) - - -Startpoint: housekeeping/_9119_ - (rising edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_33812_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: min - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 7.26 7.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 7.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 8.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 8.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 8.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 8.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 8.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 8.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 8.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 8.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 8.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 8.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 8.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 8.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 8.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 8.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.10 9.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 9.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.18 9.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 9.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.19 9.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 9.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.54 0.45 9.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) - 0.54 0.00 9.92 ^ housekeeping/_9119_/CLK (sky130_fd_sc_hd__dfrtp_4) - 0.12 0.63 10.55 v housekeeping/_9119_/Q (sky130_fd_sc_hd__dfrtp_4) - 2 0.05 housekeeping/net327 (net) - 0.12 0.01 10.56 v housekeeping/output327/A (sky130_fd_sc_hd__buf_2) - 0.03 0.15 10.70 v housekeeping/output327/X (sky130_fd_sc_hd__buf_2) - 2 0.01 hk_ack_i (net) - 0.03 0.01 10.71 v soc/core/input5/A (sky130_fd_sc_hd__buf_6) - 0.02 0.10 10.81 v soc/core/input5/X (sky130_fd_sc_hd__buf_6) - 1 0.01 soc/core/net5 (net) - 0.02 0.00 10.81 v soc/core/hold2048/A (sky130_fd_sc_hd__buf_6) - 0.07 0.14 10.94 v soc/core/hold2048/X (sky130_fd_sc_hd__buf_6) - 2 0.07 soc/core/net4914 (net) - 0.08 0.02 10.96 v soc/core/_15303_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.09 11.05 ^ soc/core/_15303_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 soc/core/_14967_ (net) - 0.07 0.00 11.05 ^ soc/core/hold1126/A (sky130_fd_sc_hd__clkbuf_1) - 0.18 0.17 11.22 ^ soc/core/hold1126/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.01 soc/core/net3992 (net) - 0.18 0.00 11.23 ^ soc/core/_15306_/A (sky130_fd_sc_hd__and3_1) - 0.11 0.22 11.44 ^ soc/core/_15306_/X (sky130_fd_sc_hd__and3_1) - 1 0.01 soc/core/_14970_ (net) - 0.11 0.00 11.44 ^ soc/core/_15307_/B1 (sky130_fd_sc_hd__o21a_2) - 0.12 0.20 11.64 ^ soc/core/_15307_/X (sky130_fd_sc_hd__o21a_2) - 1 0.02 soc/core/_14971_ (net) - 0.12 0.00 11.64 ^ soc/core/_15308_/B (sky130_fd_sc_hd__nand2_8) - 0.10 0.11 11.75 v soc/core/_15308_/Y (sky130_fd_sc_hd__nand2_8) - 10 0.07 soc/core/_14972_ (net) - 0.11 0.01 11.76 v soc/core/_15313_/A (sky130_fd_sc_hd__nand2_8) - 0.13 0.14 11.90 ^ soc/core/_15313_/Y (sky130_fd_sc_hd__nand2_8) - 6 0.07 soc/core/_14977_ (net) - 0.13 0.01 11.91 ^ soc/core/_15315_/A (sky130_fd_sc_hd__nand2_2) - 0.03 0.05 11.96 v soc/core/_15315_/Y (sky130_fd_sc_hd__nand2_2) - 1 0.00 soc/core/_14979_ (net) - 0.03 0.00 11.96 v soc/core/hold2047/A (sky130_fd_sc_hd__clkbuf_2) - 0.08 0.14 12.10 v soc/core/hold2047/X (sky130_fd_sc_hd__clkbuf_2) - 4 0.02 soc/core/net4913 (net) - 0.08 0.00 12.10 v soc/core/_15316_/A (sky130_fd_sc_hd__clkinv_2) - 0.09 0.10 12.20 ^ soc/core/_15316_/Y (sky130_fd_sc_hd__clkinv_2) - 5 0.03 soc/core/_14980_ (net) - 0.09 0.00 12.20 ^ soc/core/_28293_/A3 (sky130_fd_sc_hd__o31a_1) - 0.10 0.15 12.35 ^ soc/core/_28293_/X (sky130_fd_sc_hd__o31a_1) - 1 0.01 soc/core/_02170_ (net) - 0.10 0.00 12.35 ^ soc/core/_29970_/A1 (sky130_fd_sc_hd__mux2_1) - 0.04 0.12 12.48 ^ soc/core/_29970_/X (sky130_fd_sc_hd__mux2_1) - 1 0.00 soc/core/_00168_ (net) - 0.04 0.00 12.48 ^ soc/core/_19942_/B (sky130_fd_sc_hd__and2_1) - 0.04 0.10 12.58 ^ soc/core/_19942_/X (sky130_fd_sc_hd__and2_1) - 1 0.00 soc/core/_09433_ (net) - 0.04 0.00 12.58 ^ soc/core/_19943_/A (sky130_fd_sc_hd__clkbuf_1) - 0.04 0.07 12.65 ^ soc/core/_19943_/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/_04986_ (net) - 0.04 0.00 12.65 ^ soc/core/_33812_/D (sky130_fd_sc_hd__dfxtp_1) - 12.65 data arrival time - - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 14.82 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 14.96 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 14.96 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 15.10 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 15.10 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 15.24 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 15.24 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 15.39 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 15.39 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.25 15.64 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 15.65 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.19 15.84 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_3_0_core_clk (net) - 0.04 0.00 15.84 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.15 0.22 16.06 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_3_1_core_clk (net) - 0.15 0.00 16.06 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.18 16.25 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_6_0_core_clk (net) - 0.05 0.00 16.25 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.13 16.38 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_6_1_core_clk (net) - 0.04 0.00 16.38 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.13 0.20 16.58 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_6_2_core_clk (net) - 0.13 0.00 16.59 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.22 16.80 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_12_0_core_clk (net) - 0.10 0.00 16.80 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.16 16.96 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_25_0_core_clk (net) - 0.04 0.00 16.96 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.84 0.72 17.68 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 36 0.29 soc/core/clknet_5_25_1_core_clk (net) - 0.84 0.02 17.70 ^ soc/core/clkbuf_opt_42_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.06 0.29 17.99 ^ soc/core/clkbuf_opt_42_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_42_0_core_clk (net) - 0.06 0.00 17.99 ^ soc/core/clkbuf_opt_42_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.13 18.12 ^ soc/core/clkbuf_opt_42_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_42_1_core_clk (net) - 0.04 0.00 18.12 ^ soc/core/clkbuf_leaf_234_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.06 0.14 18.27 ^ soc/core/clkbuf_leaf_234_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 9 0.04 soc/core/clknet_leaf_234_core_clk (net) - 0.06 0.00 18.27 ^ soc/core/_33812_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.25 18.52 clock uncertainty - -5.81 12.71 clock reconvergence pessimism - -0.03 12.68 library hold time - 12.68 data required time ------------------------------------------------------------------------------ - 12.68 data required time - -12.65 data arrival time ------------------------------------------------------------------------------ - -0.03 slack (VIOLATED) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9662_ (recovery check against rising-edge clock clock) -Path Group: **async_default** -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.40 0.16 15.26 ^ housekeeping/_9662_/RESET_B (sky130_fd_sc_hd__dfrtp_1) - 15.26 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.10 34.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 34.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.18 34.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 34.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.19 34.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 34.47 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.43 0.37 34.85 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) - 0.43 0.01 34.86 ^ housekeeping/_9662_/CLK (sky130_fd_sc_hd__dfrtp_1) - -0.25 34.61 clock uncertainty - 5.78 40.38 clock reconvergence pessimism - 0.26 40.65 library recovery time - 40.65 data required time ------------------------------------------------------------------------------ - 40.65 data required time - -15.26 data arrival time ------------------------------------------------------------------------------ - 25.39 slack (MET) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9661_ (recovery check against rising-edge clock clock) -Path Group: **async_default** -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.40 0.16 15.26 ^ housekeeping/_9661_/RESET_B (sky130_fd_sc_hd__dfrtp_1) - 15.26 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.10 34.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 34.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.18 34.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 34.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.19 34.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 34.47 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.43 0.37 34.85 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) - 0.43 0.01 34.86 ^ housekeeping/_9661_/CLK (sky130_fd_sc_hd__dfrtp_1) - -0.25 34.61 clock uncertainty - 5.78 40.38 clock reconvergence pessimism - 0.26 40.65 library recovery time - 40.65 data required time ------------------------------------------------------------------------------ - 40.65 data required time - -15.26 data arrival time ------------------------------------------------------------------------------ - 25.39 slack (MET) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9660_ (recovery check against rising-edge clock clock) -Path Group: **async_default** -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.40 0.16 15.26 ^ housekeeping/_9660_/RESET_B (sky130_fd_sc_hd__dfrtp_1) - 15.26 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.10 34.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 34.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.18 34.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 34.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.19 34.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 34.47 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.43 0.37 34.85 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) - 0.43 0.01 34.86 ^ housekeeping/_9660_/CLK (sky130_fd_sc_hd__dfrtp_1) - -0.25 34.61 clock uncertainty - 5.78 40.38 clock reconvergence pessimism - 0.26 40.65 library recovery time - 40.65 data required time ------------------------------------------------------------------------------ - 40.65 data required time - -15.26 data arrival time ------------------------------------------------------------------------------ - 25.39 slack (MET) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9663_ (recovery check against rising-edge clock clock) -Path Group: **async_default** -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.40 0.16 15.26 ^ housekeeping/_9663_/RESET_B (sky130_fd_sc_hd__dfrtp_1) - 15.26 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.10 34.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 34.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.18 34.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 34.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.19 34.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 34.47 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.43 0.37 34.85 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) - 0.43 0.01 34.86 ^ housekeeping/_9663_/CLK (sky130_fd_sc_hd__dfrtp_1) - -0.25 34.61 clock uncertainty - 5.78 40.38 clock reconvergence pessimism - 0.26 40.65 library recovery time - 40.65 data required time ------------------------------------------------------------------------------ - 40.65 data required time - -15.26 data arrival time ------------------------------------------------------------------------------ - 25.39 slack (MET) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9664_ (recovery check against rising-edge clock clock) -Path Group: **async_default** -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.40 0.16 15.26 ^ housekeeping/_9664_/RESET_B (sky130_fd_sc_hd__dfrtp_1) - 15.26 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.10 34.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 34.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.18 34.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 34.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.19 34.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 34.47 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.43 0.37 34.85 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) - 0.43 0.01 34.86 ^ housekeeping/_9664_/CLK (sky130_fd_sc_hd__dfrtp_1) - -0.25 34.61 clock uncertainty - 5.78 40.38 clock reconvergence pessimism - 0.26 40.65 library recovery time - 40.65 data required time ------------------------------------------------------------------------------ - 40.65 data required time - -15.26 data arrival time ------------------------------------------------------------------------------ - 25.39 slack (MET) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9065_ (recovery check against rising-edge clock clock) -Path Group: **async_default** -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.39 0.15 15.25 ^ housekeeping/_9065_/RESET_B (sky130_fd_sc_hd__dfrtp_1) - 15.25 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.10 34.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 34.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.18 34.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 34.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.19 34.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 34.47 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.43 0.37 34.85 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) - 0.43 0.00 34.85 ^ housekeeping/_9065_/CLK (sky130_fd_sc_hd__dfrtp_1) - -0.25 34.60 clock uncertainty - 5.78 40.37 clock reconvergence pessimism - 0.26 40.64 library recovery time - 40.64 data required time ------------------------------------------------------------------------------ - 40.64 data required time - -15.25 data arrival time ------------------------------------------------------------------------------ - 25.39 slack (MET) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9059_ (recovery check against rising-edge clock clock) -Path Group: **async_default** -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.39 0.15 15.25 ^ housekeeping/_9059_/SET_B (sky130_fd_sc_hd__dfstp_1) - 15.25 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.10 34.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 34.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.18 34.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 34.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.19 34.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 34.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.54 0.45 34.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) - 0.54 0.00 34.92 ^ housekeeping/_9059_/CLK (sky130_fd_sc_hd__dfstp_1) - -0.25 34.67 clock uncertainty - 5.78 40.45 clock reconvergence pessimism - 0.23 40.68 library recovery time - 40.68 data required time ------------------------------------------------------------------------------ - 40.68 data required time - -15.25 data arrival time ------------------------------------------------------------------------------ - 25.43 slack (MET) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9067_ (recovery check against rising-edge clock clock) -Path Group: **async_default** -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.39 0.16 15.26 ^ housekeeping/_9067_/RESET_B (sky130_fd_sc_hd__dfrtp_4) - 15.26 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.10 34.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 34.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.18 34.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 34.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.19 34.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 34.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.54 0.45 34.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) - 0.54 0.00 34.92 ^ housekeeping/_9067_/CLK (sky130_fd_sc_hd__dfrtp_4) - -0.25 34.67 clock uncertainty - 5.78 40.45 clock reconvergence pessimism - 0.26 40.71 library recovery time - 40.71 data required time ------------------------------------------------------------------------------ - 40.71 data required time - -15.26 data arrival time ------------------------------------------------------------------------------ - 25.45 slack (MET) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9066_ (recovery check against rising-edge clock clock) -Path Group: **async_default** -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.39 0.16 15.26 ^ housekeeping/_9066_/RESET_B (sky130_fd_sc_hd__dfrtp_4) - 15.26 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.10 34.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 34.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.18 34.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 34.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.19 34.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 34.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.54 0.45 34.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) - 0.54 0.00 34.92 ^ housekeeping/_9066_/CLK (sky130_fd_sc_hd__dfrtp_4) - -0.25 34.67 clock uncertainty - 5.78 40.45 clock reconvergence pessimism - 0.26 40.71 library recovery time - 40.71 data required time ------------------------------------------------------------------------------ - 40.71 data required time - -15.26 data arrival time ------------------------------------------------------------------------------ - 25.45 slack (MET) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9068_ (recovery check against rising-edge clock clock) -Path Group: **async_default** -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.39 0.16 15.26 ^ housekeeping/_9068_/RESET_B (sky130_fd_sc_hd__dfrtp_4) - 15.26 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.10 34.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 34.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.18 34.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 34.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.19 34.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 34.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.54 0.45 34.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) - 0.54 0.00 34.92 ^ housekeeping/_9068_/CLK (sky130_fd_sc_hd__dfrtp_4) - -0.25 34.67 clock uncertainty - 5.78 40.45 clock reconvergence pessimism - 0.26 40.71 library recovery time - 40.71 data required time ------------------------------------------------------------------------------ - 40.71 data required time - -15.26 data arrival time ------------------------------------------------------------------------------ - 25.45 slack (MET) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9119_ (recovery check against rising-edge clock clock) -Path Group: **async_default** -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.39 0.15 15.25 ^ housekeeping/_9119_/RESET_B (sky130_fd_sc_hd__dfrtp_4) - 15.25 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.10 34.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 34.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.18 34.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 34.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.19 34.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 34.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.54 0.45 34.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) - 0.54 0.00 34.92 ^ housekeeping/_9119_/CLK (sky130_fd_sc_hd__dfrtp_4) - -0.25 34.67 clock uncertainty - 5.78 40.45 clock reconvergence pessimism - 0.26 40.71 library recovery time - 40.71 data required time ------------------------------------------------------------------------------ - 40.71 data required time - -15.25 data arrival time ------------------------------------------------------------------------------ - 25.46 slack (MET) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9587_ (recovery check against rising-edge clock clock) -Path Group: **async_default** -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.39 0.15 15.25 ^ housekeeping/_9587_/RESET_B (sky130_fd_sc_hd__dfrtp_4) - 15.25 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.10 34.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 34.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.18 34.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 34.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.19 34.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 34.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.54 0.45 34.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) - 0.54 0.01 34.92 ^ housekeeping/_9587_/CLK (sky130_fd_sc_hd__dfrtp_4) - -0.25 34.67 clock uncertainty - 5.78 40.45 clock reconvergence pessimism - 0.26 40.71 library recovery time - 40.71 data required time ------------------------------------------------------------------------------ - 40.71 data required time - -15.25 data arrival time ------------------------------------------------------------------------------ - 25.46 slack (MET) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9759_ (recovery check against rising-edge clock clock) -Path Group: **async_default** -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.38 0.15 15.25 ^ housekeeping/_9759_/RESET_B (sky130_fd_sc_hd__dfrtp_4) - 15.25 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.10 34.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 34.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.18 34.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 34.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.19 34.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 34.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.54 0.45 34.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) - 0.54 0.01 34.92 ^ housekeeping/_9759_/CLK (sky130_fd_sc_hd__dfrtp_4) - -0.25 34.67 clock uncertainty - 5.78 40.45 clock reconvergence pessimism - 0.26 40.71 library recovery time - 40.71 data required time ------------------------------------------------------------------------------ - 40.71 data required time - -15.25 data arrival time ------------------------------------------------------------------------------ - 25.46 slack (MET) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9640_ (recovery check against rising-edge clock clock) -Path Group: **async_default** -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.38 0.15 15.25 ^ housekeeping/_9640_/RESET_B (sky130_fd_sc_hd__dfrtp_2) - 15.25 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.10 34.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 34.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.18 34.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 34.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.19 34.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 34.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.54 0.45 34.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) - 0.54 0.01 34.92 ^ housekeeping/_9640_/CLK (sky130_fd_sc_hd__dfrtp_2) - -0.25 34.67 clock uncertainty - 5.78 40.45 clock reconvergence pessimism - 0.27 40.72 library recovery time - 40.72 data required time ------------------------------------------------------------------------------ - 40.72 data required time - -15.25 data arrival time ------------------------------------------------------------------------------ - 25.48 slack (MET) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9659_ (recovery check against rising-edge clock clock) -Path Group: **async_default** -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.40 0.16 15.26 ^ housekeeping/_9659_/RESET_B (sky130_fd_sc_hd__dfrtp_1) - 15.26 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.10 34.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 34.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.18 34.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 34.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.19 34.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 34.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.54 0.45 34.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) - 0.54 0.00 34.92 ^ housekeeping/_9659_/CLK (sky130_fd_sc_hd__dfrtp_1) - -0.25 34.67 clock uncertainty - 5.78 40.45 clock reconvergence pessimism - 0.29 40.74 library recovery time - 40.74 data required time ------------------------------------------------------------------------------ - 40.74 data required time - -15.26 data arrival time ------------------------------------------------------------------------------ - 25.48 slack (MET) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9665_ (recovery check against rising-edge clock clock) -Path Group: **async_default** -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.40 0.16 15.26 ^ housekeeping/_9665_/RESET_B (sky130_fd_sc_hd__dfrtp_1) - 15.26 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.10 34.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 34.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.18 34.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 34.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.19 34.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 34.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.54 0.45 34.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) - 0.54 0.00 34.92 ^ housekeeping/_9665_/CLK (sky130_fd_sc_hd__dfrtp_1) - -0.25 34.67 clock uncertainty - 5.78 40.45 clock reconvergence pessimism - 0.29 40.74 library recovery time - 40.74 data required time ------------------------------------------------------------------------------ - 40.74 data required time - -15.26 data arrival time ------------------------------------------------------------------------------ - 25.48 slack (MET) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9666_ (recovery check against rising-edge clock clock) -Path Group: **async_default** -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.40 0.16 15.26 ^ housekeeping/_9666_/RESET_B (sky130_fd_sc_hd__dfrtp_1) - 15.26 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.10 34.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 34.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.18 34.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 34.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.19 34.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 34.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.54 0.45 34.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) - 0.54 0.00 34.92 ^ housekeeping/_9666_/CLK (sky130_fd_sc_hd__dfrtp_1) - -0.25 34.67 clock uncertainty - 5.78 40.45 clock reconvergence pessimism - 0.29 40.74 library recovery time - 40.74 data required time ------------------------------------------------------------------------------ - 40.74 data required time - -15.26 data arrival time ------------------------------------------------------------------------------ - 25.48 slack (MET) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9064_ (recovery check against rising-edge clock clock) -Path Group: **async_default** -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.39 0.16 15.26 ^ housekeeping/_9064_/RESET_B (sky130_fd_sc_hd__dfrtp_1) - 15.26 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.10 34.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 34.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.18 34.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 34.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.19 34.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 34.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.54 0.45 34.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) - 0.54 0.00 34.92 ^ housekeeping/_9064_/CLK (sky130_fd_sc_hd__dfrtp_1) - -0.25 34.67 clock uncertainty - 5.78 40.45 clock reconvergence pessimism - 0.29 40.74 library recovery time - 40.74 data required time ------------------------------------------------------------------------------ - 40.74 data required time - -15.26 data arrival time ------------------------------------------------------------------------------ - 25.48 slack (MET) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9063_ (recovery check against rising-edge clock clock) -Path Group: **async_default** -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.39 0.16 15.26 ^ housekeeping/_9063_/RESET_B (sky130_fd_sc_hd__dfrtp_1) - 15.26 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.10 34.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 34.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.18 34.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 34.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.19 34.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 34.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.54 0.45 34.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) - 0.54 0.00 34.92 ^ housekeeping/_9063_/CLK (sky130_fd_sc_hd__dfrtp_1) - -0.25 34.67 clock uncertainty - 5.78 40.45 clock reconvergence pessimism - 0.29 40.74 library recovery time - 40.74 data required time ------------------------------------------------------------------------------ - 40.74 data required time - -15.26 data arrival time ------------------------------------------------------------------------------ - 25.48 slack (MET) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9061_ (recovery check against rising-edge clock clock) -Path Group: **async_default** -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.39 0.15 15.25 ^ housekeeping/_9061_/RESET_B (sky130_fd_sc_hd__dfrtp_1) - 15.25 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.10 34.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 34.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.18 34.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 34.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.19 34.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 34.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.54 0.45 34.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) - 0.54 0.00 34.92 ^ housekeeping/_9061_/CLK (sky130_fd_sc_hd__dfrtp_1) - -0.25 34.67 clock uncertainty - 5.78 40.45 clock reconvergence pessimism - 0.29 40.74 library recovery time - 40.74 data required time ------------------------------------------------------------------------------ - 40.74 data required time - -15.25 data arrival time ------------------------------------------------------------------------------ - 25.49 slack (MET) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9678_ (recovery check against rising-edge clock clock) -Path Group: **async_default** -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.32 0.09 15.19 ^ housekeeping/_9678_/RESET_B (sky130_fd_sc_hd__dfrtp_1) - 15.19 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 34.10 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) - 0.05 0.00 34.10 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.20 0.21 34.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 housekeeping/clknet_1_0_1_wb_clk_i (net) - 0.20 0.00 34.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.22 34.52 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) - 0.15 0.00 34.52 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.38 0.35 34.88 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) - 0.38 0.01 34.89 ^ housekeeping/_9678_/CLK (sky130_fd_sc_hd__dfrtp_1) - -0.25 34.64 clock uncertainty - 5.78 40.41 clock reconvergence pessimism - 0.27 40.68 library recovery time - 40.68 data required time ------------------------------------------------------------------------------ - 40.68 data required time - -15.19 data arrival time ------------------------------------------------------------------------------ - 25.49 slack (MET) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9062_ (recovery check against rising-edge clock clock) -Path Group: **async_default** -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.39 0.15 15.25 ^ housekeeping/_9062_/RESET_B (sky130_fd_sc_hd__dfrtp_1) - 15.25 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.10 34.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 34.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.18 34.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 34.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.19 34.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 34.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.54 0.45 34.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) - 0.54 0.00 34.92 ^ housekeeping/_9062_/CLK (sky130_fd_sc_hd__dfrtp_1) - -0.25 34.67 clock uncertainty - 5.78 40.45 clock reconvergence pessimism - 0.29 40.74 library recovery time - 40.74 data required time ------------------------------------------------------------------------------ - 40.74 data required time - -15.25 data arrival time ------------------------------------------------------------------------------ - 25.49 slack (MET) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9060_ (recovery check against rising-edge clock clock) -Path Group: **async_default** -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.39 0.15 15.25 ^ housekeeping/_9060_/RESET_B (sky130_fd_sc_hd__dfrtp_1) - 15.25 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.10 34.09 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) - 0.05 0.00 34.09 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.18 34.27 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) - 0.17 0.00 34.28 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.13 0.19 34.47 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) - 0.13 0.00 34.47 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.54 0.45 34.92 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 19 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) - 0.54 0.00 34.92 ^ housekeeping/_9060_/CLK (sky130_fd_sc_hd__dfrtp_1) - -0.25 34.67 clock uncertainty - 5.78 40.45 clock reconvergence pessimism - 0.29 40.74 library recovery time - 40.74 data required time ------------------------------------------------------------------------------ - 40.74 data required time - -15.25 data arrival time ------------------------------------------------------------------------------ - 25.49 slack (MET) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9675_ (recovery check against rising-edge clock clock) -Path Group: **async_default** -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.32 0.09 15.19 ^ housekeeping/_9675_/RESET_B (sky130_fd_sc_hd__dfrtp_1) - 15.19 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 34.10 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) - 0.05 0.00 34.10 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.20 0.21 34.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 housekeeping/clknet_1_0_1_wb_clk_i (net) - 0.20 0.00 34.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.22 34.52 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) - 0.15 0.00 34.52 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.38 0.35 34.88 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) - 0.38 0.01 34.89 ^ housekeeping/_9675_/CLK (sky130_fd_sc_hd__dfrtp_1) - -0.25 34.64 clock uncertainty - 5.78 40.41 clock reconvergence pessimism - 0.27 40.68 library recovery time - 40.68 data required time ------------------------------------------------------------------------------ - 40.68 data required time - -15.19 data arrival time ------------------------------------------------------------------------------ - 25.49 slack (MET) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9677_ (recovery check against rising-edge clock clock) -Path Group: **async_default** -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.31 0.08 15.18 ^ housekeeping/_9677_/RESET_B (sky130_fd_sc_hd__dfrtp_1) - 15.18 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 34.10 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) - 0.05 0.00 34.10 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.20 0.21 34.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 housekeeping/clknet_1_0_1_wb_clk_i (net) - 0.20 0.00 34.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.22 34.52 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) - 0.15 0.00 34.52 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.38 0.35 34.88 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) - 0.38 0.01 34.89 ^ housekeeping/_9677_/CLK (sky130_fd_sc_hd__dfrtp_1) - -0.25 34.64 clock uncertainty - 5.78 40.41 clock reconvergence pessimism - 0.27 40.68 library recovery time - 40.68 data required time ------------------------------------------------------------------------------ - 40.68 data required time - -15.18 data arrival time ------------------------------------------------------------------------------ - 25.50 slack (MET) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9679_ (recovery check against rising-edge clock clock) -Path Group: **async_default** -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.31 0.08 15.18 ^ housekeeping/_9679_/RESET_B (sky130_fd_sc_hd__dfrtp_1) - 15.18 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 34.10 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) - 0.05 0.00 34.10 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.20 0.21 34.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 housekeeping/clknet_1_0_1_wb_clk_i (net) - 0.20 0.00 34.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.22 34.52 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) - 0.15 0.00 34.52 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.38 0.35 34.88 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) - 0.38 0.01 34.89 ^ housekeeping/_9679_/CLK (sky130_fd_sc_hd__dfrtp_1) - -0.25 34.64 clock uncertainty - 5.78 40.41 clock reconvergence pessimism - 0.27 40.68 library recovery time - 40.68 data required time ------------------------------------------------------------------------------ - 40.68 data required time - -15.18 data arrival time ------------------------------------------------------------------------------ - 25.50 slack (MET) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9681_ (recovery check against rising-edge clock clock) -Path Group: **async_default** -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.31 0.08 15.18 ^ housekeeping/_9681_/RESET_B (sky130_fd_sc_hd__dfrtp_1) - 15.18 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 34.10 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) - 0.05 0.00 34.10 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.20 0.21 34.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 housekeeping/clknet_1_0_1_wb_clk_i (net) - 0.20 0.00 34.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.22 34.52 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) - 0.15 0.00 34.52 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.38 0.35 34.88 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) - 0.38 0.01 34.89 ^ housekeeping/_9681_/CLK (sky130_fd_sc_hd__dfrtp_1) - -0.25 34.64 clock uncertainty - 5.78 40.41 clock reconvergence pessimism - 0.27 40.68 library recovery time - 40.68 data required time ------------------------------------------------------------------------------ - 40.68 data required time - -15.18 data arrival time ------------------------------------------------------------------------------ - 25.50 slack (MET) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9676_ (recovery check against rising-edge clock clock) -Path Group: **async_default** -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.30 0.08 15.18 ^ housekeeping/_9676_/RESET_B (sky130_fd_sc_hd__dfrtp_1) - 15.18 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 34.10 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) - 0.05 0.00 34.10 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.20 0.21 34.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 housekeeping/clknet_1_0_1_wb_clk_i (net) - 0.20 0.00 34.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.22 34.52 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) - 0.15 0.00 34.52 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.38 0.35 34.88 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) - 0.38 0.01 34.89 ^ housekeeping/_9676_/CLK (sky130_fd_sc_hd__dfrtp_1) - -0.25 34.64 clock uncertainty - 5.78 40.41 clock reconvergence pessimism - 0.27 40.68 library recovery time - 40.68 data required time ------------------------------------------------------------------------------ - 40.68 data required time - -15.18 data arrival time ------------------------------------------------------------------------------ - 25.51 slack (MET) - - -Startpoint: clocking/_421_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9680_ (recovery check against rising-edge clock clock) -Path Group: **async_default** -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/_421_/CLK (sky130_fd_sc_hd__dfstp_1) - 0.03 0.38 14.65 v clocking/_421_/Q (sky130_fd_sc_hd__dfstp_1) - 1 0.00 clocking/reset_delay[0] (net) - 0.03 0.00 14.65 v clocking/_375_/B (sky130_fd_sc_hd__nor2_1) - 0.07 0.07 14.72 ^ clocking/_375_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 clocking/net11 (net) - 0.07 0.00 14.73 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) - 0.09 0.15 14.88 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) - 5 0.02 caravel_rstn (net) - 0.09 0.01 14.89 ^ housekeeping/input197/A (sky130_fd_sc_hd__buf_12) - 0.27 0.21 15.10 ^ housekeeping/input197/X (sky130_fd_sc_hd__buf_12) - 30 0.25 housekeeping/net197 (net) - 0.30 0.08 15.17 ^ housekeeping/_9680_/RESET_B (sky130_fd_sc_hd__dfrtp_1) - 15.17 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 34.10 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) - 0.05 0.00 34.10 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.20 0.21 34.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 housekeeping/clknet_1_0_1_wb_clk_i (net) - 0.20 0.00 34.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.22 34.52 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) - 0.15 0.00 34.52 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.38 0.35 34.88 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) - 0.38 0.01 34.89 ^ housekeeping/_9680_/CLK (sky130_fd_sc_hd__dfrtp_1) - -0.25 34.64 clock uncertainty - 5.78 40.41 clock reconvergence pessimism - 0.27 40.68 library recovery time - 40.68 data required time ------------------------------------------------------------------------------ - 40.68 data required time - -15.17 data arrival time ------------------------------------------------------------------------------ - 25.51 slack (MET) - - -Startpoint: soc/core/_34850_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: flash_csb (output port clocked by clock) -Path Group: clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 14.82 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 14.96 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 14.96 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 15.10 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 15.10 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 15.24 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 15.24 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 15.39 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 15.39 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.25 15.64 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 15.65 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.19 15.84 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_3_0_core_clk (net) - 0.04 0.00 15.84 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.15 0.22 16.06 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_3_1_core_clk (net) - 0.15 0.00 16.06 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.18 16.24 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_7_0_core_clk (net) - 0.05 0.00 16.24 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.13 16.38 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_7_1_core_clk (net) - 0.04 0.00 16.38 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.21 16.58 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_7_2_core_clk (net) - 0.14 0.01 16.59 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.11 0.22 16.82 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_15_0_core_clk (net) - 0.11 0.00 16.82 ^ soc/core/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.16 16.97 ^ soc/core/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_31_0_core_clk (net) - 0.04 0.00 16.98 ^ soc/core/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.67 0.60 17.57 ^ soc/core/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.23 soc/core/clknet_5_31_1_core_clk (net) - 0.68 0.02 17.60 ^ soc/core/clkbuf_leaf_182_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.09 0.32 17.91 ^ soc/core/clkbuf_leaf_182_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 24 0.06 soc/core/clknet_leaf_182_core_clk (net) - 0.09 0.00 17.91 ^ soc/core/_34850_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.03 0.32 18.23 v soc/core/_34850_/Q (sky130_fd_sc_hd__dfxtp_1) - 1 0.00 soc/core/mgmtsoc_litespisdrphycore_count[0] (net) - 0.03 0.00 18.24 v soc/core/hold2067/A (sky130_fd_sc_hd__clkdlybuf4s50_1) - 0.09 0.47 18.71 v soc/core/hold2067/X (sky130_fd_sc_hd__clkdlybuf4s50_1) - 2 0.01 soc/core/net4933 (net) - 0.09 0.00 18.71 v soc/core/_16744_/A (sky130_fd_sc_hd__inv_2) - 0.04 0.07 18.78 ^ soc/core/_16744_/Y (sky130_fd_sc_hd__inv_2) - 2 0.00 soc/core/_07380_ (net) - 0.04 0.00 18.78 ^ soc/core/_16745_/C (sky130_fd_sc_hd__and3_2) - 0.13 0.26 19.03 ^ soc/core/_16745_/X (sky130_fd_sc_hd__and3_2) - 3 0.02 soc/core/_07381_ (net) - 0.13 0.00 19.03 ^ soc/core/_16748_/A (sky130_fd_sc_hd__nand2_4) - 0.11 0.13 19.16 v soc/core/_16748_/Y (sky130_fd_sc_hd__nand2_4) - 6 0.05 soc/core/net243 (net) - 0.11 0.00 19.17 v soc/core/output243/A (sky130_fd_sc_hd__buf_2) - 0.02 0.15 19.32 v soc/core/output243/X (sky130_fd_sc_hd__buf_2) - 1 0.00 flash_csb_core (net) - 0.03 0.00 19.32 v housekeeping/input84/A (sky130_fd_sc_hd__buf_4) - 0.09 0.19 19.51 v housekeeping/input84/X (sky130_fd_sc_hd__buf_4) - 1 0.06 housekeeping/net84 (net) - 0.09 0.02 19.53 v housekeeping/_8836_/A0 (sky130_fd_sc_hd__mux2_2) - 0.08 0.34 19.87 v housekeeping/_8836_/X (sky130_fd_sc_hd__mux2_2) - 1 0.02 housekeeping/net253 (net) - 0.08 0.00 19.87 v housekeeping/output253/A (sky130_fd_sc_hd__buf_2) - 0.11 0.20 20.07 v housekeeping/output253/X (sky130_fd_sc_hd__buf_2) - 1 0.04 flash_csb_frame (net) - 0.16 0.06 20.13 v padframe/flash_csb_pad/OUT (sky130_ef_io__gpiov2_pad_wrapped) - 13.19 10.41 30.54 v padframe/flash_csb_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 7 1.15 flash_csb (net) - 13.19 0.00 30.54 v flash_csb (out) - 30.54 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock network delay (propagated) - -0.25 24.75 clock uncertainty - 0.00 24.75 clock reconvergence pessimism - -5.00 19.75 output external delay - 19.75 data required time ------------------------------------------------------------------------------ - 19.75 data required time - -30.54 data arrival time ------------------------------------------------------------------------------ - -10.79 slack (VIOLATED) - - -Startpoint: soc/core/_31272_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: flash_io0 (output port clocked by clock) -Path Group: clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 14.82 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 14.96 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 14.96 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 15.10 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 15.10 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 15.24 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 15.24 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 15.39 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 15.39 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.25 15.64 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 15.65 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.19 15.84 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_3_0_core_clk (net) - 0.04 0.00 15.84 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.15 0.22 16.06 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_3_1_core_clk (net) - 0.15 0.00 16.06 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.18 16.24 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_7_0_core_clk (net) - 0.05 0.00 16.24 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.13 16.38 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_7_1_core_clk (net) - 0.04 0.00 16.38 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.21 16.58 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_7_2_core_clk (net) - 0.14 0.01 16.59 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.11 0.22 16.82 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_15_0_core_clk (net) - 0.11 0.00 16.82 ^ soc/core/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.16 16.97 ^ soc/core/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_31_0_core_clk (net) - 0.04 0.00 16.98 ^ soc/core/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.67 0.60 17.57 ^ soc/core/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.23 soc/core/clknet_5_31_1_core_clk (net) - 0.68 0.02 17.60 ^ soc/core/clkbuf_opt_47_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.27 17.87 ^ soc/core/clkbuf_opt_47_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.01 soc/core/clknet_opt_47_0_core_clk (net) - 0.05 0.00 17.87 ^ soc/core/clkbuf_opt_47_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.13 18.00 ^ soc/core/clkbuf_opt_47_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_47_1_core_clk (net) - 0.04 0.00 18.00 ^ soc/core/clkbuf_leaf_179_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.13 18.13 ^ soc/core/clkbuf_leaf_179_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 6 0.02 soc/core/clknet_leaf_179_core_clk (net) - 0.04 0.00 18.13 ^ soc/core/_31272_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.03 0.30 18.43 v soc/core/_31272_/Q (sky130_fd_sc_hd__dfxtp_1) - 1 0.00 soc/core/net244 (net) - 0.03 0.00 18.43 v soc/core/output244/A (sky130_fd_sc_hd__buf_2) - 0.02 0.11 18.55 v soc/core/output244/X (sky130_fd_sc_hd__buf_2) - 1 0.00 flash_io0_do_core (net) - 0.03 0.00 18.55 v housekeeping/input85/A (sky130_fd_sc_hd__buf_4) - 0.10 0.21 18.76 v housekeeping/input85/X (sky130_fd_sc_hd__buf_4) - 5 0.08 housekeeping/net85 (net) - 0.10 0.01 18.77 v housekeeping/_8838_/A0 (sky130_fd_sc_hd__mux2_2) - 0.09 0.35 19.12 v housekeeping/_8838_/X (sky130_fd_sc_hd__mux2_2) - 1 0.02 housekeeping/net255 (net) - 0.09 0.00 19.12 v housekeeping/output255/A (sky130_fd_sc_hd__buf_2) - 0.11 0.20 19.32 v housekeeping/output255/X (sky130_fd_sc_hd__buf_2) - 1 0.04 flash_io0_do (net) - 0.16 0.06 19.38 v padframe/flash_io0_pad/OUT (sky130_ef_io__gpiov2_pad_wrapped) - 13.19 10.41 29.79 v padframe/flash_io0_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 7 1.15 flash_io0 (net) - 13.19 0.00 29.79 v flash_io0 (out) - 29.79 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock network delay (propagated) - -0.25 24.75 clock uncertainty - 0.00 24.75 clock reconvergence pessimism - -5.00 19.75 output external delay - 19.75 data required time ------------------------------------------------------------------------------ - 19.75 data required time - -29.79 data arrival time ------------------------------------------------------------------------------ - -10.04 slack (VIOLATED) - - -Startpoint: soc/core/_31372_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: flash_clk (output port clocked by clock) -Path Group: clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 14.82 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 14.96 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 14.96 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 15.10 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 15.10 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 15.24 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 15.24 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 15.39 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 15.39 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.25 15.64 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 15.65 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.19 15.84 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_3_0_core_clk (net) - 0.04 0.00 15.84 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.15 0.22 16.06 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_3_1_core_clk (net) - 0.15 0.00 16.06 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.18 16.24 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_7_0_core_clk (net) - 0.05 0.00 16.24 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.13 16.38 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_7_1_core_clk (net) - 0.04 0.00 16.38 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.21 16.58 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_7_2_core_clk (net) - 0.14 0.01 16.59 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.11 0.22 16.82 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_15_0_core_clk (net) - 0.11 0.00 16.82 ^ soc/core/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.16 16.97 ^ soc/core/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_31_0_core_clk (net) - 0.04 0.00 16.98 ^ soc/core/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.67 0.60 17.57 ^ soc/core/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.23 soc/core/clknet_5_31_1_core_clk (net) - 0.68 0.02 17.60 ^ soc/core/clkbuf_leaf_182_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.09 0.32 17.91 ^ soc/core/clkbuf_leaf_182_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 24 0.06 soc/core/clknet_leaf_182_core_clk (net) - 0.09 0.00 17.91 ^ soc/core/_31372_/CLK (sky130_fd_sc_hd__dfxtp_1) - 0.07 0.37 18.28 v soc/core/_31372_/Q (sky130_fd_sc_hd__dfxtp_1) - 1 0.01 soc/core/net242 (net) - 0.07 0.00 18.28 v soc/core/output242/A (sky130_fd_sc_hd__buf_2) - 0.03 0.14 18.42 v soc/core/output242/X (sky130_fd_sc_hd__buf_2) - 1 0.00 flash_clk_core (net) - 0.03 0.01 18.43 v housekeeping/input83/A (sky130_fd_sc_hd__buf_6) - 0.10 0.19 18.62 v housekeeping/input83/X (sky130_fd_sc_hd__buf_6) - 11 0.11 housekeeping/net83 (net) - 0.10 0.01 18.62 v housekeeping/_8837_/A0 (sky130_fd_sc_hd__mux2_1) - 0.07 0.33 18.95 v housekeeping/_8837_/X (sky130_fd_sc_hd__mux2_1) - 1 0.00 housekeeping/net251 (net) - 0.07 0.00 18.95 v housekeeping/output251/A (sky130_fd_sc_hd__clkbuf_1) - 0.25 0.23 19.19 v housekeeping/output251/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.04 flash_clk_frame (net) - 0.27 0.06 19.25 v padframe/flash_clk_pad/OUT (sky130_ef_io__gpiov2_pad_wrapped) - 13.19 10.48 29.73 v padframe/flash_clk_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 7 1.15 flash_clk (net) - 13.19 0.00 29.73 v flash_clk (out) - 29.73 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock network delay (propagated) - -0.25 24.75 clock uncertainty - 0.00 24.75 clock reconvergence pessimism - -5.00 19.75 output external delay - 19.75 data required time ------------------------------------------------------------------------------ - 19.75 data required time - -29.73 data arrival time ------------------------------------------------------------------------------ - -9.98 slack (VIOLATED) - - -Startpoint: soc/core/_34054_ (rising edge-triggered flip-flop clocked by clock) -Endpoint: housekeeping/_9675_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 0.00 0.00 clock clock (rise edge) - 0.00 0.00 clock source latency - 10.20 8.03 8.03 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 8.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 5.73 13.75 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 13.76 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.13 13.89 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 13.89 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 13.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 13.98 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.12 14.10 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 14.10 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.16 14.27 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 14.27 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.31 14.58 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 14.59 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.23 14.81 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 14.82 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 14.96 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 14.96 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 15.10 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 15.10 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.14 15.24 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 15.24 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.15 15.39 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 15.39 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.25 15.64 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 15.65 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.19 15.84 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_3_0_core_clk (net) - 0.04 0.00 15.84 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.15 0.22 16.06 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_3_1_core_clk (net) - 0.15 0.00 16.06 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.18 16.24 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_7_0_core_clk (net) - 0.05 0.00 16.24 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.13 16.38 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_7_1_core_clk (net) - 0.04 0.00 16.38 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.21 16.58 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_7_2_core_clk (net) - 0.14 0.01 16.59 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.22 16.81 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_14_0_core_clk (net) - 0.10 0.00 16.81 ^ soc/core/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 16.97 ^ soc/core/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_28_0_core_clk (net) - 0.04 0.00 16.97 ^ soc/core/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.74 0.64 17.61 ^ soc/core/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 32 0.25 soc/core/clknet_5_28_1_core_clk (net) - 0.74 0.03 17.64 ^ soc/core/clkbuf_leaf_217_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.07 0.30 17.94 ^ soc/core/clkbuf_leaf_217_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 8 0.03 soc/core/clknet_leaf_217_core_clk (net) - 0.07 0.00 17.94 ^ soc/core/_34054_/CLK (sky130_fd_sc_hd__dfxtp_2) - 0.15 0.42 18.36 ^ soc/core/_34054_/Q (sky130_fd_sc_hd__dfxtp_2) - 5 0.03 soc/core/grant[0] (net) - 0.15 0.00 18.36 ^ soc/core/_15118_/A (sky130_fd_sc_hd__inv_2) - 0.05 0.07 18.44 v soc/core/_15118_/Y (sky130_fd_sc_hd__inv_2) - 3 0.01 soc/core/_14796_ (net) - 0.05 0.00 18.44 v soc/core/_15119_/B (sky130_fd_sc_hd__nor2_1) - 0.18 0.17 18.61 ^ soc/core/_15119_/Y (sky130_fd_sc_hd__nor2_1) - 2 0.01 soc/core/_14797_ (net) - 0.18 0.00 18.61 ^ soc/core/_15317_/A (sky130_fd_sc_hd__buf_6) - 0.20 0.25 18.86 ^ soc/core/_15317_/X (sky130_fd_sc_hd__buf_6) - 16 0.10 soc/core/_14981_ (net) - 0.20 0.02 18.88 ^ soc/core/_27297_/A (sky130_fd_sc_hd__buf_6) - 0.16 0.23 19.11 ^ soc/core/_27297_/X (sky130_fd_sc_hd__buf_6) - 8 0.08 soc/core/_13944_ (net) - 0.16 0.01 19.12 ^ soc/core/_27304_/B1 (sky130_fd_sc_hd__a22oi_4) - 0.09 0.10 19.22 v soc/core/_27304_/Y (sky130_fd_sc_hd__a22oi_4) - 2 0.02 soc/core/_02581_ (net) - 0.09 0.00 19.22 v soc/core/_29918_/A0 (sky130_fd_sc_hd__mux2_2) - 0.12 0.38 19.60 v soc/core/_29918_/X (sky130_fd_sc_hd__mux2_2) - 2 0.03 soc/core/_02582_ (net) - 0.12 0.00 19.60 v soc/core/_15102_/A (sky130_fd_sc_hd__clkinv_4) - 0.03 0.06 19.67 ^ soc/core/_15102_/Y (sky130_fd_sc_hd__clkinv_4) - 1 0.00 soc/core/net641 (net) - 0.03 0.00 19.67 ^ soc/core/repeater1788/A (sky130_fd_sc_hd__clkbuf_1) - 0.06 0.09 19.76 ^ soc/core/repeater1788/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1788 (net) - 0.06 0.00 19.76 ^ soc/core/repeater1787/A (sky130_fd_sc_hd__clkbuf_1) - 0.04 0.09 19.84 ^ soc/core/repeater1787/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1787 (net) - 0.04 0.00 19.84 ^ soc/core/repeater1786/A (sky130_fd_sc_hd__clkbuf_1) - 0.05 0.09 19.93 ^ soc/core/repeater1786/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1786 (net) - 0.05 0.00 19.93 ^ soc/core/repeater1785/A (sky130_fd_sc_hd__clkbuf_1) - 0.05 0.09 20.02 ^ soc/core/repeater1785/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1785 (net) - 0.05 0.00 20.02 ^ soc/core/repeater1784/A (sky130_fd_sc_hd__clkbuf_1) - 0.06 0.10 20.12 ^ soc/core/repeater1784/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1784 (net) - 0.06 0.00 20.12 ^ soc/core/repeater1783/A (sky130_fd_sc_hd__clkbuf_1) - 0.05 0.10 20.22 ^ soc/core/repeater1783/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1783 (net) - 0.05 0.00 20.22 ^ soc/core/repeater1782/A (sky130_fd_sc_hd__clkbuf_1) - 0.05 0.09 20.31 ^ soc/core/repeater1782/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1782 (net) - 0.05 0.00 20.31 ^ soc/core/repeater1781/A (sky130_fd_sc_hd__clkbuf_1) - 0.05 0.09 20.40 ^ soc/core/repeater1781/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1781 (net) - 0.05 0.00 20.40 ^ soc/core/repeater1780/A (sky130_fd_sc_hd__clkbuf_1) - 0.05 0.09 20.48 ^ soc/core/repeater1780/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1780 (net) - 0.05 0.00 20.48 ^ soc/core/repeater1779/A (sky130_fd_sc_hd__clkbuf_1) - 0.05 0.09 20.58 ^ soc/core/repeater1779/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1779 (net) - 0.05 0.00 20.58 ^ soc/core/repeater1778/A (sky130_fd_sc_hd__clkbuf_1) - 0.04 0.08 20.66 ^ soc/core/repeater1778/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1778 (net) - 0.04 0.00 20.66 ^ soc/core/repeater1777/A (sky130_fd_sc_hd__clkbuf_1) - 0.07 0.10 20.76 ^ soc/core/repeater1777/X (sky130_fd_sc_hd__clkbuf_1) - 2 0.01 soc/core/net1777 (net) - 0.07 0.00 20.76 ^ soc/core/repeater1741/A (sky130_fd_sc_hd__clkbuf_1) - 0.09 0.13 20.89 ^ soc/core/repeater1741/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.01 soc/core/net1741 (net) - 0.09 0.00 20.89 ^ soc/core/repeater1740/A (sky130_fd_sc_hd__clkbuf_1) - 0.06 0.11 21.00 ^ soc/core/repeater1740/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1740 (net) - 0.06 0.00 21.00 ^ soc/core/repeater1739/A (sky130_fd_sc_hd__clkbuf_1) - 0.06 0.10 21.10 ^ soc/core/repeater1739/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1739 (net) - 0.06 0.00 21.10 ^ soc/core/repeater1738/A (sky130_fd_sc_hd__clkbuf_1) - 0.07 0.11 21.21 ^ soc/core/repeater1738/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.01 soc/core/net1738 (net) - 0.07 0.00 21.21 ^ soc/core/repeater1737/A (sky130_fd_sc_hd__clkbuf_1) - 0.08 0.12 21.33 ^ soc/core/repeater1737/X (sky130_fd_sc_hd__clkbuf_1) - 2 0.01 soc/core/net1737 (net) - 0.08 0.00 21.33 ^ soc/core/repeater1736/A (sky130_fd_sc_hd__clkbuf_1) - 0.09 0.13 21.46 ^ soc/core/repeater1736/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.01 soc/core/net1736 (net) - 0.09 0.00 21.46 ^ soc/core/repeater1735/A (sky130_fd_sc_hd__clkbuf_1) - 0.09 0.13 21.59 ^ soc/core/repeater1735/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.01 soc/core/net1735 (net) - 0.09 0.00 21.59 ^ soc/core/repeater1734/A (sky130_fd_sc_hd__clkbuf_1) - 0.06 0.11 21.71 ^ soc/core/repeater1734/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1734 (net) - 0.06 0.00 21.71 ^ soc/core/repeater1733/A (sky130_fd_sc_hd__clkbuf_1) - 0.08 0.12 21.83 ^ soc/core/repeater1733/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.01 soc/core/net1733 (net) - 0.08 0.00 21.83 ^ soc/core/repeater1732/A (sky130_fd_sc_hd__clkbuf_1) - 0.10 0.14 21.97 ^ soc/core/repeater1732/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.01 soc/core/net1732 (net) - 0.10 0.00 21.97 ^ soc/core/repeater1731/A (sky130_fd_sc_hd__clkbuf_1) - 0.06 0.11 22.08 ^ soc/core/repeater1731/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1731 (net) - 0.06 0.00 22.08 ^ soc/core/repeater1730/A (sky130_fd_sc_hd__clkbuf_1) - 0.12 0.15 22.23 ^ soc/core/repeater1730/X (sky130_fd_sc_hd__clkbuf_1) - 2 0.01 soc/core/net1730 (net) - 0.12 0.00 22.23 ^ soc/core/repeater1729/A (sky130_fd_sc_hd__clkbuf_1) - 0.04 0.10 22.33 ^ soc/core/repeater1729/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1729 (net) - 0.04 0.00 22.33 ^ soc/core/repeater1728/A (sky130_fd_sc_hd__clkbuf_1) - 0.04 0.08 22.41 ^ soc/core/repeater1728/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1728 (net) - 0.04 0.00 22.41 ^ soc/core/repeater1727/A (sky130_fd_sc_hd__clkbuf_1) - 0.08 0.11 22.52 ^ soc/core/repeater1727/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.01 soc/core/net1727 (net) - 0.08 0.00 22.52 ^ soc/core/repeater1726/A (sky130_fd_sc_hd__clkbuf_1) - 0.05 0.10 22.62 ^ soc/core/repeater1726/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1726 (net) - 0.05 0.00 22.62 ^ soc/core/repeater1725/A (sky130_fd_sc_hd__clkbuf_1) - 0.05 0.09 22.71 ^ soc/core/repeater1725/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1725 (net) - 0.05 0.00 22.71 ^ soc/core/repeater1724/A (sky130_fd_sc_hd__clkbuf_1) - 0.05 0.09 22.80 ^ soc/core/repeater1724/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1724 (net) - 0.05 0.00 22.80 ^ soc/core/repeater1723/A (sky130_fd_sc_hd__clkbuf_1) - 0.05 0.09 22.89 ^ soc/core/repeater1723/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1723 (net) - 0.05 0.00 22.89 ^ soc/core/repeater1722/A (sky130_fd_sc_hd__clkbuf_1) - 0.05 0.09 22.98 ^ soc/core/repeater1722/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1722 (net) - 0.05 0.00 22.98 ^ soc/core/repeater1721/A (sky130_fd_sc_hd__clkbuf_1) - 0.08 0.12 23.10 ^ soc/core/repeater1721/X (sky130_fd_sc_hd__clkbuf_1) - 2 0.01 soc/core/net1721 (net) - 0.08 0.00 23.10 ^ soc/core/repeater1720/A (sky130_fd_sc_hd__clkbuf_1) - 0.06 0.11 23.21 ^ soc/core/repeater1720/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1720 (net) - 0.06 0.00 23.21 ^ soc/core/repeater1719/A (sky130_fd_sc_hd__clkbuf_1) - 0.04 0.09 23.29 ^ soc/core/repeater1719/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1719 (net) - 0.04 0.00 23.29 ^ soc/core/repeater1718/A (sky130_fd_sc_hd__clkbuf_1) - 0.05 0.08 23.38 ^ soc/core/repeater1718/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1718 (net) - 0.05 0.00 23.38 ^ soc/core/repeater1717/A (sky130_fd_sc_hd__clkbuf_1) - 0.04 0.08 23.46 ^ soc/core/repeater1717/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1717 (net) - 0.04 0.00 23.46 ^ soc/core/repeater1716/A (sky130_fd_sc_hd__clkbuf_1) - 0.05 0.09 23.55 ^ soc/core/repeater1716/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1716 (net) - 0.05 0.00 23.55 ^ soc/core/repeater1715/A (sky130_fd_sc_hd__clkbuf_1) - 0.06 0.10 23.65 ^ soc/core/repeater1715/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1715 (net) - 0.06 0.00 23.65 ^ soc/core/repeater1714/A (sky130_fd_sc_hd__clkbuf_1) - 0.06 0.10 23.75 ^ soc/core/repeater1714/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1714 (net) - 0.06 0.00 23.75 ^ soc/core/repeater1713/A (sky130_fd_sc_hd__clkbuf_1) - 0.04 0.09 23.84 ^ soc/core/repeater1713/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1713 (net) - 0.04 0.00 23.84 ^ soc/core/repeater1712/A (sky130_fd_sc_hd__clkbuf_1) - 0.05 0.09 23.92 ^ soc/core/repeater1712/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1712 (net) - 0.05 0.00 23.92 ^ soc/core/repeater1711/A (sky130_fd_sc_hd__clkbuf_1) - 0.05 0.09 24.01 ^ soc/core/repeater1711/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1711 (net) - 0.05 0.00 24.01 ^ soc/core/repeater1710/A (sky130_fd_sc_hd__clkbuf_1) - 0.05 0.09 24.10 ^ soc/core/repeater1710/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1710 (net) - 0.05 0.00 24.10 ^ soc/core/repeater1709/A (sky130_fd_sc_hd__clkbuf_1) - 0.05 0.09 24.19 ^ soc/core/repeater1709/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1709 (net) - 0.05 0.00 24.19 ^ soc/core/repeater1708/A (sky130_fd_sc_hd__clkbuf_1) - 0.06 0.10 24.28 ^ soc/core/repeater1708/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1708 (net) - 0.06 0.00 24.29 ^ soc/core/repeater1707/A (sky130_fd_sc_hd__clkbuf_1) - 0.05 0.09 24.38 ^ soc/core/repeater1707/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1707 (net) - 0.05 0.00 24.38 ^ soc/core/repeater1706/A (sky130_fd_sc_hd__clkbuf_1) - 0.10 0.13 24.51 ^ soc/core/repeater1706/X (sky130_fd_sc_hd__clkbuf_1) - 2 0.01 soc/core/net1706 (net) - 0.10 0.00 24.51 ^ soc/core/repeater1705/A (sky130_fd_sc_hd__clkbuf_1) - 0.07 0.12 24.62 ^ soc/core/repeater1705/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1705 (net) - 0.07 0.00 24.62 ^ soc/core/repeater1704/A (sky130_fd_sc_hd__clkbuf_1) - 0.07 0.11 24.73 ^ soc/core/repeater1704/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1704 (net) - 0.07 0.00 24.73 ^ soc/core/repeater1703/A (sky130_fd_sc_hd__clkbuf_1) - 0.07 0.11 24.84 ^ soc/core/repeater1703/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.01 soc/core/net1703 (net) - 0.07 0.00 24.84 ^ soc/core/repeater1702/A (sky130_fd_sc_hd__clkbuf_1) - 0.08 0.12 24.96 ^ soc/core/repeater1702/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.01 soc/core/net1702 (net) - 0.08 0.00 24.96 ^ soc/core/repeater1701/A (sky130_fd_sc_hd__clkbuf_1) - 0.07 0.12 25.08 ^ soc/core/repeater1701/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.01 soc/core/net1701 (net) - 0.07 0.00 25.08 ^ soc/core/repeater1700/A (sky130_fd_sc_hd__clkbuf_1) - 0.08 0.12 25.20 ^ soc/core/repeater1700/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.01 soc/core/net1700 (net) - 0.08 0.00 25.20 ^ soc/core/repeater1699/A (sky130_fd_sc_hd__clkbuf_1) - 0.08 0.12 25.32 ^ soc/core/repeater1699/X (sky130_fd_sc_hd__clkbuf_1) - 2 0.01 soc/core/net1699 (net) - 0.08 0.00 25.32 ^ soc/core/repeater1698/A (sky130_fd_sc_hd__clkbuf_1) - 0.05 0.10 25.42 ^ soc/core/repeater1698/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1698 (net) - 0.05 0.00 25.42 ^ soc/core/repeater1697/A (sky130_fd_sc_hd__clkbuf_1) - 0.05 0.09 25.51 ^ soc/core/repeater1697/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1697 (net) - 0.05 0.00 25.51 ^ soc/core/repeater1696/A (sky130_fd_sc_hd__clkbuf_1) - 0.04 0.09 25.59 ^ soc/core/repeater1696/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1696 (net) - 0.04 0.00 25.59 ^ soc/core/repeater1695/A (sky130_fd_sc_hd__clkbuf_1) - 0.05 0.09 25.68 ^ soc/core/repeater1695/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1695 (net) - 0.05 0.00 25.68 ^ soc/core/repeater1694/A (sky130_fd_sc_hd__clkbuf_1) - 0.05 0.09 25.77 ^ soc/core/repeater1694/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1694 (net) - 0.05 0.00 25.77 ^ soc/core/repeater1693/A (sky130_fd_sc_hd__clkbuf_1) - 0.04 0.09 25.86 ^ soc/core/repeater1693/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1693 (net) - 0.04 0.00 25.86 ^ soc/core/repeater1692/A (sky130_fd_sc_hd__clkbuf_1) - 0.05 0.09 25.94 ^ soc/core/repeater1692/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1692 (net) - 0.05 0.00 25.94 ^ soc/core/repeater1691/A (sky130_fd_sc_hd__clkbuf_1) - 0.05 0.09 26.03 ^ soc/core/repeater1691/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1691 (net) - 0.05 0.00 26.03 ^ soc/core/repeater1690/A (sky130_fd_sc_hd__clkbuf_1) - 0.05 0.09 26.12 ^ soc/core/repeater1690/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1690 (net) - 0.05 0.00 26.12 ^ soc/core/repeater1689/A (sky130_fd_sc_hd__clkbuf_1) - 0.06 0.10 26.22 ^ soc/core/repeater1689/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1689 (net) - 0.06 0.00 26.22 ^ soc/core/repeater1688/A (sky130_fd_sc_hd__clkbuf_1) - 0.04 0.09 26.30 ^ soc/core/repeater1688/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1688 (net) - 0.04 0.00 26.30 ^ soc/core/repeater1687/A (sky130_fd_sc_hd__clkbuf_1) - 0.04 0.08 26.39 ^ soc/core/repeater1687/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1687 (net) - 0.04 0.00 26.39 ^ soc/core/repeater1686/A (sky130_fd_sc_hd__clkbuf_1) - 0.05 0.09 26.47 ^ soc/core/repeater1686/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1686 (net) - 0.05 0.00 26.47 ^ soc/core/repeater1685/A (sky130_fd_sc_hd__clkbuf_1) - 0.05 0.09 26.56 ^ soc/core/repeater1685/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1685 (net) - 0.05 0.00 26.56 ^ soc/core/repeater1684/A (sky130_fd_sc_hd__clkbuf_1) - 0.05 0.09 26.65 ^ soc/core/repeater1684/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1684 (net) - 0.05 0.00 26.65 ^ soc/core/repeater1683/A (sky130_fd_sc_hd__clkbuf_1) - 0.04 0.08 26.73 ^ soc/core/repeater1683/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1683 (net) - 0.04 0.00 26.73 ^ soc/core/repeater1682/A (sky130_fd_sc_hd__clkbuf_1) - 0.05 0.08 26.82 ^ soc/core/repeater1682/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1682 (net) - 0.05 0.00 26.82 ^ soc/core/repeater1681/A (sky130_fd_sc_hd__clkbuf_1) - 0.04 0.08 26.90 ^ soc/core/repeater1681/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1681 (net) - 0.04 0.00 26.90 ^ soc/core/repeater1680/A (sky130_fd_sc_hd__clkbuf_1) - 0.04 0.08 26.98 ^ soc/core/repeater1680/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1680 (net) - 0.04 0.00 26.98 ^ soc/core/repeater1679/A (sky130_fd_sc_hd__clkbuf_1) - 0.05 0.09 27.07 ^ soc/core/repeater1679/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1679 (net) - 0.05 0.00 27.07 ^ soc/core/repeater1678/A (sky130_fd_sc_hd__clkbuf_1) - 0.04 0.08 27.15 ^ soc/core/repeater1678/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net1678 (net) - 0.04 0.00 27.15 ^ soc/core/_28864_/A (sky130_fd_sc_hd__clkbuf_1) - 0.04 0.08 27.23 ^ soc/core/_28864_/X (sky130_fd_sc_hd__clkbuf_1) - 1 0.00 soc/core/net705 (net) - 0.04 0.00 27.23 ^ soc/core/output705/A (sky130_fd_sc_hd__buf_2) - 0.04 0.11 27.33 ^ soc/core/output705/X (sky130_fd_sc_hd__buf_2) - 3 0.01 mprj_adr_o_core[6] (net) - 0.04 0.00 27.34 ^ housekeeping/input160/A (sky130_fd_sc_hd__buf_4) - 0.18 0.21 27.55 ^ housekeeping/input160/X (sky130_fd_sc_hd__buf_4) - 13 0.06 housekeeping/net160 (net) - 0.18 0.01 27.56 ^ housekeeping/_7903_/C (sky130_fd_sc_hd__or4_1) - 0.04 0.14 27.71 ^ housekeeping/_7903_/X (sky130_fd_sc_hd__or4_1) - 1 0.00 housekeeping/_3534_ (net) - 0.04 0.00 27.71 ^ housekeeping/_7904_/A (sky130_fd_sc_hd__clkbuf_4) - 0.16 0.23 27.93 ^ housekeeping/_7904_/X (sky130_fd_sc_hd__clkbuf_4) - 9 0.05 housekeeping/_3535_ (net) - 0.16 0.00 27.93 ^ housekeeping/_8215_/B (sky130_fd_sc_hd__or2_2) - 0.11 0.20 28.14 ^ housekeeping/_8215_/X (sky130_fd_sc_hd__or2_2) - 3 0.02 housekeeping/_3845_ (net) - 0.11 0.00 28.14 ^ housekeeping/_8217_/C1 (sky130_fd_sc_hd__o2111ai_1) - 0.09 0.12 28.26 v housekeeping/_8217_/Y (sky130_fd_sc_hd__o2111ai_1) - 1 0.00 housekeeping/_3847_ (net) - 0.09 0.00 28.26 v housekeeping/_8220_/A3 (sky130_fd_sc_hd__o32a_2) - 0.09 0.41 28.67 v housekeeping/_8220_/X (sky130_fd_sc_hd__o32a_2) - 1 0.02 housekeeping/_3850_ (net) - 0.09 0.00 28.67 v housekeeping/_8224_/C (sky130_fd_sc_hd__or4b_2) - 0.14 0.71 29.39 v housekeeping/_8224_/X (sky130_fd_sc_hd__or4b_2) - 1 0.01 housekeeping/_3854_ (net) - 0.14 0.00 29.39 v housekeeping/_8227_/B (sky130_fd_sc_hd__or4_1) - 0.10 0.57 29.96 v housekeeping/_8227_/X (sky130_fd_sc_hd__or4_1) - 1 0.01 housekeeping/_3857_ (net) - 0.10 0.00 29.96 v housekeeping/_8231_/A (sky130_fd_sc_hd__or4_1) - 0.09 0.57 30.53 v housekeeping/_8231_/X (sky130_fd_sc_hd__or4_1) - 1 0.00 housekeeping/_3861_ (net) - 0.09 0.00 30.53 v housekeeping/_8237_/A (sky130_fd_sc_hd__or4_1) - 0.11 0.59 31.12 v housekeeping/_8237_/X (sky130_fd_sc_hd__or4_1) - 1 0.01 housekeeping/_3867_ (net) - 0.11 0.00 31.12 v housekeeping/_8241_/A (sky130_fd_sc_hd__or4_1) - 0.10 0.58 31.70 v housekeeping/_8241_/X (sky130_fd_sc_hd__or4_1) - 1 0.00 housekeeping/_3871_ (net) - 0.10 0.00 31.70 v housekeeping/_8245_/A (sky130_fd_sc_hd__or3_1) - 0.08 0.43 32.13 v housekeeping/_8245_/X (sky130_fd_sc_hd__or3_1) - 1 0.01 housekeeping/_3875_ (net) - 0.08 0.00 32.13 v housekeeping/_8248_/A (sky130_fd_sc_hd__or3_1) - 0.06 0.39 32.52 v housekeeping/_8248_/X (sky130_fd_sc_hd__or3_1) - 1 0.00 housekeeping/_3878_ (net) - 0.06 0.00 32.52 v housekeeping/_8251_/A (sky130_fd_sc_hd__or2_1) - 0.04 0.23 32.75 v housekeeping/_8251_/X (sky130_fd_sc_hd__or2_1) - 1 0.00 housekeeping/_3881_ (net) - 0.04 0.00 32.75 v housekeeping/_8253_/A (sky130_fd_sc_hd__or2_1) - 0.04 0.22 32.98 v housekeeping/_8253_/X (sky130_fd_sc_hd__or2_1) - 1 0.00 housekeeping/_3883_ (net) - 0.04 0.00 32.98 v housekeeping/_8255_/A (sky130_fd_sc_hd__or2_1) - 0.04 0.22 33.20 v housekeeping/_8255_/X (sky130_fd_sc_hd__or2_1) - 1 0.00 housekeeping/_3885_ (net) - 0.04 0.00 33.20 v housekeeping/_8257_/A (sky130_fd_sc_hd__or2_1) - 0.05 0.23 33.43 v housekeeping/_8257_/X (sky130_fd_sc_hd__or2_1) - 1 0.00 housekeeping/_3887_ (net) - 0.05 0.00 33.43 v housekeeping/_8259_/A (sky130_fd_sc_hd__or2_1) - 0.05 0.24 33.67 v housekeeping/_8259_/X (sky130_fd_sc_hd__or2_1) - 1 0.00 housekeeping/_3889_ (net) - 0.05 0.00 33.67 v housekeeping/_8261_/A (sky130_fd_sc_hd__or2_1) - 0.04 0.23 33.90 v housekeeping/_8261_/X (sky130_fd_sc_hd__or2_1) - 1 0.00 housekeeping/_3891_ (net) - 0.04 0.00 33.90 v housekeeping/_8263_/A (sky130_fd_sc_hd__or2_1) - 0.09 0.29 34.18 v housekeeping/_8263_/X (sky130_fd_sc_hd__or2_1) - 1 0.01 housekeeping/_3893_ (net) - 0.09 0.00 34.18 v housekeeping/_8265_/A (sky130_fd_sc_hd__or2_1) - 0.04 0.24 34.43 v housekeeping/_8265_/X (sky130_fd_sc_hd__or2_1) - 1 0.00 housekeeping/_3895_ (net) - 0.04 0.00 34.43 v housekeeping/_8267_/A (sky130_fd_sc_hd__or2_1) - 0.05 0.24 34.67 v housekeeping/_8267_/X (sky130_fd_sc_hd__or2_1) - 1 0.00 housekeeping/_3897_ (net) - 0.05 0.00 34.67 v housekeeping/_8269_/A (sky130_fd_sc_hd__or2_1) - 0.05 0.23 34.90 v housekeeping/_8269_/X (sky130_fd_sc_hd__or2_1) - 1 0.00 housekeeping/_3899_ (net) - 0.05 0.00 34.90 v housekeeping/_8271_/A (sky130_fd_sc_hd__or2_1) - 0.08 0.27 35.17 v housekeeping/_8271_/X (sky130_fd_sc_hd__or2_1) - 1 0.01 housekeeping/_3901_ (net) - 0.08 0.00 35.17 v housekeeping/_8273_/A (sky130_fd_sc_hd__or2_1) - 0.05 0.24 35.42 v housekeeping/_8273_/X (sky130_fd_sc_hd__or2_1) - 1 0.00 housekeeping/_3903_ (net) - 0.05 0.00 35.42 v housekeeping/_8275_/A (sky130_fd_sc_hd__or2_2) - 0.17 0.45 35.86 v housekeeping/_8275_/X (sky130_fd_sc_hd__or2_2) - 1 0.05 housekeeping/_3905_ (net) - 0.17 0.01 35.88 v housekeeping/_8280_/A_N (sky130_fd_sc_hd__nand3b_1) - 0.05 0.20 36.08 v housekeeping/_8280_/Y (sky130_fd_sc_hd__nand3b_1) - 1 0.00 housekeeping/_3910_ (net) - 0.05 0.00 36.08 v housekeeping/_8281_/B (sky130_fd_sc_hd__or2_1) - 0.05 0.21 36.29 v housekeeping/_8281_/X (sky130_fd_sc_hd__or2_1) - 1 0.00 housekeeping/_3911_ (net) - 0.05 0.00 36.29 v housekeeping/_8283_/A (sky130_fd_sc_hd__or2_1) - 0.06 0.24 36.54 v housekeeping/_8283_/X (sky130_fd_sc_hd__or2_1) - 1 0.00 housekeeping/_3913_ (net) - 0.06 0.00 36.54 v housekeeping/_8284_/B (sky130_fd_sc_hd__or2_1) - 0.04 0.20 36.74 v housekeeping/_8284_/X (sky130_fd_sc_hd__or2_1) - 1 0.00 housekeeping/_3914_ (net) - 0.04 0.00 36.74 v housekeeping/_8285_/B (sky130_fd_sc_hd__or2_1) - 0.04 0.20 36.94 v housekeeping/_8285_/X (sky130_fd_sc_hd__or2_1) - 1 0.00 housekeeping/_3915_ (net) - 0.04 0.00 36.94 v housekeeping/_8286_/C (sky130_fd_sc_hd__or3_1) - 0.06 0.31 37.25 v housekeeping/_8286_/X (sky130_fd_sc_hd__or3_1) - 1 0.00 housekeeping/_3916_ (net) - 0.06 0.00 37.25 v housekeeping/_8287_/B (sky130_fd_sc_hd__or2_1) - 0.06 0.23 37.48 v housekeeping/_8287_/X (sky130_fd_sc_hd__or2_1) - 1 0.01 housekeeping/_3917_ (net) - 0.06 0.00 37.48 v housekeeping/_8288_/B1 (sky130_fd_sc_hd__a31o_1) - 0.04 0.20 37.68 v housekeeping/_8288_/X (sky130_fd_sc_hd__a31o_1) - 1 0.00 housekeeping/_3918_ (net) - 0.04 0.00 37.68 v housekeeping/_8289_/B1 (sky130_fd_sc_hd__a31o_1) - 0.05 0.21 37.89 v housekeeping/_8289_/X (sky130_fd_sc_hd__a31o_1) - 1 0.01 housekeeping/_3919_ (net) - 0.05 0.00 37.89 v housekeeping/_8290_/B (sky130_fd_sc_hd__or2_1) - 0.04 0.21 38.10 v housekeeping/_8290_/X (sky130_fd_sc_hd__or2_1) - 1 0.00 housekeeping/_3920_ (net) - 0.04 0.00 38.10 v housekeeping/_8291_/C (sky130_fd_sc_hd__or3_1) - 0.06 0.31 38.41 v housekeeping/_8291_/X (sky130_fd_sc_hd__or3_1) - 1 0.00 housekeeping/_3921_ (net) - 0.06 0.00 38.41 v housekeeping/_8292_/B (sky130_fd_sc_hd__nor2_1) - 0.06 0.08 38.49 ^ housekeeping/_8292_/Y (sky130_fd_sc_hd__nor2_1) - 1 0.00 housekeeping/_3922_ (net) - 0.06 0.00 38.49 ^ housekeeping/_8294_/A (sky130_fd_sc_hd__or2_1) - 0.06 0.12 38.61 ^ housekeeping/_8294_/X (sky130_fd_sc_hd__or2_1) - 1 0.00 housekeeping/_3924_ (net) - 0.06 0.00 38.61 ^ housekeeping/_8295_/B (sky130_fd_sc_hd__and2_1) - 0.04 0.12 38.73 ^ housekeeping/_8295_/X (sky130_fd_sc_hd__and2_1) - 1 0.00 housekeeping/_3925_ (net) - 0.04 0.00 38.73 ^ housekeeping/_8296_/B (sky130_fd_sc_hd__nand2_1) - 0.06 0.07 38.80 v housekeeping/_8296_/Y (sky130_fd_sc_hd__nand2_1) - 1 0.01 housekeeping/_0179_ (net) - 0.06 0.00 38.80 v housekeeping/_8969_/A0 (sky130_fd_sc_hd__mux2_4) - 0.11 0.37 39.17 v housekeeping/_8969_/X (sky130_fd_sc_hd__mux2_4) - 1 0.04 housekeeping/_4401_ (net) - 0.11 0.00 39.17 v housekeeping/_5044_/A1 (sky130_fd_sc_hd__a22o_1) - 0.05 0.24 39.42 v housekeeping/_5044_/X (sky130_fd_sc_hd__a22o_1) - 1 0.00 housekeeping/_0904_ (net) - 0.05 0.00 39.42 v housekeeping/_9675_/D (sky130_fd_sc_hd__dfrtp_1) - 39.42 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.03 housekeeping/clknet_0_wb_clk_i (net) - 0.05 0.00 33.99 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.05 0.11 34.10 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) - 0.05 0.00 34.10 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.20 0.21 34.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 housekeeping/clknet_1_0_1_wb_clk_i (net) - 0.20 0.00 34.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.22 34.52 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) - 0.15 0.00 34.52 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) - 0.38 0.35 34.88 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) - 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) - 0.38 0.01 34.89 ^ housekeeping/_9675_/CLK (sky130_fd_sc_hd__dfrtp_1) - -0.25 34.64 clock uncertainty - 5.81 40.44 clock reconvergence pessimism - -0.04 40.41 library setup time - 40.41 data required time ------------------------------------------------------------------------------ - 40.41 data required time - -39.42 data arrival time ------------------------------------------------------------------------------ - 0.99 slack (MET) - - -Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 - (falling edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31659_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 12.50 12.50 clock clock (fall edge) - 0.00 12.50 clock source latency - 6.03 5.21 17.71 v clock (in) - 1 1.12 clock (net) - 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.00 clocking/clknet_0_ext_clk (net) - 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_1_0_core_clk (net) - 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_2_1_1_core_clk (net) - 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_0_core_clk (net) - 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_1_core_clk (net) - 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_2_2_core_clk (net) - 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_4_0_core_clk (net) - 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_8_0_core_clk (net) - 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.18 soc/core/clknet_5_8_1_core_clk (net) - 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) - 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) - 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.02 soc/core/clknet_leaf_21_core_clk (net) - 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) - 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) - 2 0.03 soc/core/sram_bus_dat_r[15] (net) - 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) - 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.05 soc/core/net2501 (net) - 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2500 (net) - 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) - 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2499 (net) - 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2498 (net) - 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2497 (net) - 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) - 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) - 1 0.00 soc/core/_11276_ (net) - 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) - 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) - 1 0.01 soc/core/_11277_ (net) - 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) - 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) - 1 0.00 soc/core/_11278_ (net) - 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) - 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) - 2 0.06 soc/core/net4058 (net) - 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) - 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) - 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) - 1 0.01 soc/core/net4057 (net) - 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) - 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) - 8 0.07 soc/core/net4056 (net) - 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) - 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) - 1 0.02 soc/core/_01130_ (net) - 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) - 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) - 1 0.01 soc/core/_01131_ (net) - 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) - 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) - 8 0.09 soc/core/_01132_ (net) - 0.17 0.01 31.22 ^ soc/core/repeater816/A (sky130_fd_sc_hd__buf_12) - 0.44 0.36 31.58 ^ soc/core/repeater816/X (sky130_fd_sc_hd__buf_12) - 66 0.40 soc/core/net816 (net) - 0.50 0.13 31.72 ^ soc/core/repeater813/A (sky130_fd_sc_hd__buf_12) - 0.48 0.43 32.15 ^ soc/core/repeater813/X (sky130_fd_sc_hd__buf_12) - 78 0.44 soc/core/net813 (net) - 0.56 0.16 32.30 ^ soc/core/repeater812/A (sky130_fd_sc_hd__buf_12) - 0.44 0.39 32.69 ^ soc/core/repeater812/X (sky130_fd_sc_hd__buf_12) - 70 0.40 soc/core/net812 (net) - 0.44 0.04 32.73 ^ soc/core/repeater811/A (sky130_fd_sc_hd__buf_12) - 0.47 0.36 33.10 ^ soc/core/repeater811/X (sky130_fd_sc_hd__buf_12) - 80 0.43 soc/core/net811 (net) - 0.63 0.23 33.33 ^ soc/core/_30355_/S0 (sky130_fd_sc_hd__mux4_1) - 0.13 0.74 34.07 v soc/core/_30355_/X (sky130_fd_sc_hd__mux4_1) - 1 0.01 soc/core/_01269_ (net) - 0.13 0.00 34.07 v soc/core/_30356_/A3 (sky130_fd_sc_hd__mux4_2) - 0.16 0.64 34.71 v soc/core/_30356_/X (sky130_fd_sc_hd__mux4_2) - 2 0.03 soc/core/_01270_ (net) - 0.16 0.00 34.71 v soc/core/_29062_/A1 (sky130_fd_sc_hd__mux2_4) - 0.12 0.39 35.10 v soc/core/_29062_/X (sky130_fd_sc_hd__mux2_4) - 2 0.05 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[12] (net) - 0.12 0.01 35.11 v soc/core/_31659_/D (sky130_fd_sc_hd__dfxtp_1) - 35.11 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_2_0_core_clk (net) - 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_2_1_core_clk (net) - 0.14 0.01 35.11 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.16 35.28 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_5_0_core_clk (net) - 0.05 0.00 35.28 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.12 35.40 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_5_1_core_clk (net) - 0.04 0.00 35.40 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.11 0.17 35.57 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_3_5_2_core_clk (net) - 0.11 0.00 35.58 ^ soc/core/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.19 35.77 ^ soc/core/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_10_0_core_clk (net) - 0.10 0.00 35.77 ^ soc/core/clkbuf_5_20_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.14 35.91 ^ soc/core/clkbuf_5_20_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_20_0_core_clk (net) - 0.04 0.00 35.91 ^ soc/core/clkbuf_5_20_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.75 0.59 36.50 ^ soc/core/clkbuf_5_20_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 34 0.26 soc/core/clknet_5_20_1_core_clk (net) - 0.75 0.01 36.51 ^ soc/core/clkbuf_leaf_287_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.10 0.31 36.82 ^ soc/core/clkbuf_leaf_287_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 30 0.08 soc/core/clknet_leaf_287_core_clk (net) - 0.10 0.00 36.82 ^ soc/core/_31659_/CLK (sky130_fd_sc_hd__dfxtp_1) - -0.25 36.57 clock uncertainty - 1.80 38.36 clock reconvergence pessimism - -0.13 38.23 library setup time - 38.23 data required time ------------------------------------------------------------------------------ - 38.23 data required time - -35.11 data arrival time ------------------------------------------------------------------------------ - 3.12 slack (MET) - - -Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 - (falling edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31656_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 12.50 12.50 clock clock (fall edge) - 0.00 12.50 clock source latency - 6.03 5.21 17.71 v clock (in) - 1 1.12 clock (net) - 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.00 clocking/clknet_0_ext_clk (net) - 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_1_0_core_clk (net) - 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_2_1_1_core_clk (net) - 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_0_core_clk (net) - 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_1_core_clk (net) - 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_2_2_core_clk (net) - 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_4_0_core_clk (net) - 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_8_0_core_clk (net) - 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.18 soc/core/clknet_5_8_1_core_clk (net) - 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) - 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) - 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.02 soc/core/clknet_leaf_21_core_clk (net) - 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) - 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) - 2 0.03 soc/core/sram_bus_dat_r[15] (net) - 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) - 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.05 soc/core/net2501 (net) - 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2500 (net) - 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) - 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2499 (net) - 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2498 (net) - 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2497 (net) - 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) - 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) - 1 0.00 soc/core/_11276_ (net) - 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) - 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) - 1 0.01 soc/core/_11277_ (net) - 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) - 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) - 1 0.00 soc/core/_11278_ (net) - 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) - 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) - 2 0.06 soc/core/net4058 (net) - 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) - 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) - 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) - 1 0.01 soc/core/net4057 (net) - 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) - 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) - 8 0.07 soc/core/net4056 (net) - 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) - 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) - 1 0.02 soc/core/_01130_ (net) - 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) - 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) - 1 0.01 soc/core/_01131_ (net) - 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) - 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) - 8 0.09 soc/core/_01132_ (net) - 0.17 0.01 31.22 ^ soc/core/repeater816/A (sky130_fd_sc_hd__buf_12) - 0.44 0.36 31.58 ^ soc/core/repeater816/X (sky130_fd_sc_hd__buf_12) - 66 0.40 soc/core/net816 (net) - 0.50 0.13 31.72 ^ soc/core/repeater813/A (sky130_fd_sc_hd__buf_12) - 0.48 0.43 32.15 ^ soc/core/repeater813/X (sky130_fd_sc_hd__buf_12) - 78 0.44 soc/core/net813 (net) - 0.56 0.16 32.30 ^ soc/core/repeater812/A (sky130_fd_sc_hd__buf_12) - 0.44 0.39 32.69 ^ soc/core/repeater812/X (sky130_fd_sc_hd__buf_12) - 70 0.40 soc/core/net812 (net) - 0.44 0.04 32.73 ^ soc/core/repeater811/A (sky130_fd_sc_hd__buf_12) - 0.47 0.36 33.10 ^ soc/core/repeater811/X (sky130_fd_sc_hd__buf_12) - 80 0.43 soc/core/net811 (net) - 0.63 0.23 33.33 ^ soc/core/_30325_/S0 (sky130_fd_sc_hd__mux4_2) - 0.13 0.69 34.02 v soc/core/_30325_/X (sky130_fd_sc_hd__mux4_2) - 2 0.02 soc/core/_01239_ (net) - 0.13 0.00 34.03 v soc/core/_30326_/A3 (sky130_fd_sc_hd__mux4_2) - 0.17 0.66 34.68 v soc/core/_30326_/X (sky130_fd_sc_hd__mux4_2) - 2 0.04 soc/core/_01240_ (net) - 0.17 0.01 34.69 v soc/core/_29059_/A1 (sky130_fd_sc_hd__mux2_2) - 0.10 0.39 35.07 v soc/core/_29059_/X (sky130_fd_sc_hd__mux2_2) - 2 0.02 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[9] (net) - 0.10 0.00 35.07 v soc/core/_31656_/D (sky130_fd_sc_hd__dfxtp_1) - 35.07 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_2_0_core_clk (net) - 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_2_1_core_clk (net) - 0.14 0.01 35.11 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.16 35.28 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_5_0_core_clk (net) - 0.05 0.00 35.28 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.12 35.40 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_5_1_core_clk (net) - 0.04 0.00 35.40 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.11 0.17 35.57 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_3_5_2_core_clk (net) - 0.11 0.00 35.58 ^ soc/core/clkbuf_4_11_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.19 35.77 ^ soc/core/clkbuf_4_11_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_11_0_core_clk (net) - 0.10 0.00 35.77 ^ soc/core/clkbuf_5_22_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.14 35.91 ^ soc/core/clkbuf_5_22_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_22_0_core_clk (net) - 0.04 0.00 35.91 ^ soc/core/clkbuf_5_22_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.70 0.54 36.45 ^ soc/core/clkbuf_5_22_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.24 soc/core/clknet_5_22_1_core_clk (net) - 0.70 0.01 36.46 ^ soc/core/clkbuf_leaf_283_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.11 0.31 36.77 ^ soc/core/clkbuf_leaf_283_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 30 0.09 soc/core/clknet_leaf_283_core_clk (net) - 0.11 0.00 36.77 ^ soc/core/_31656_/CLK (sky130_fd_sc_hd__dfxtp_1) - -0.25 36.52 clock uncertainty - 1.80 38.32 clock reconvergence pessimism - -0.12 38.20 library setup time - 38.20 data required time ------------------------------------------------------------------------------ - 38.20 data required time - -35.07 data arrival time ------------------------------------------------------------------------------ - 3.13 slack (MET) - - -Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 - (falling edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31664_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 12.50 12.50 clock clock (fall edge) - 0.00 12.50 clock source latency - 6.03 5.21 17.71 v clock (in) - 1 1.12 clock (net) - 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.00 clocking/clknet_0_ext_clk (net) - 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_1_0_core_clk (net) - 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_2_1_1_core_clk (net) - 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_0_core_clk (net) - 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_1_core_clk (net) - 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_2_2_core_clk (net) - 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_4_0_core_clk (net) - 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_8_0_core_clk (net) - 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.18 soc/core/clknet_5_8_1_core_clk (net) - 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) - 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) - 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.02 soc/core/clknet_leaf_21_core_clk (net) - 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) - 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) - 2 0.03 soc/core/sram_bus_dat_r[15] (net) - 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) - 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.05 soc/core/net2501 (net) - 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2500 (net) - 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) - 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2499 (net) - 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2498 (net) - 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2497 (net) - 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) - 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) - 1 0.00 soc/core/_11276_ (net) - 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) - 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) - 1 0.01 soc/core/_11277_ (net) - 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) - 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) - 1 0.00 soc/core/_11278_ (net) - 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) - 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) - 2 0.06 soc/core/net4058 (net) - 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) - 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) - 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) - 1 0.01 soc/core/net4057 (net) - 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) - 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) - 8 0.07 soc/core/net4056 (net) - 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) - 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) - 1 0.02 soc/core/_01130_ (net) - 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) - 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) - 1 0.01 soc/core/_01131_ (net) - 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) - 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) - 8 0.09 soc/core/_01132_ (net) - 0.17 0.01 31.22 ^ soc/core/repeater816/A (sky130_fd_sc_hd__buf_12) - 0.44 0.36 31.58 ^ soc/core/repeater816/X (sky130_fd_sc_hd__buf_12) - 66 0.40 soc/core/net816 (net) - 0.50 0.13 31.72 ^ soc/core/repeater813/A (sky130_fd_sc_hd__buf_12) - 0.48 0.43 32.15 ^ soc/core/repeater813/X (sky130_fd_sc_hd__buf_12) - 78 0.44 soc/core/net813 (net) - 0.56 0.16 32.30 ^ soc/core/repeater812/A (sky130_fd_sc_hd__buf_12) - 0.44 0.39 32.69 ^ soc/core/repeater812/X (sky130_fd_sc_hd__buf_12) - 70 0.40 soc/core/net812 (net) - 0.44 0.04 32.73 ^ soc/core/repeater811/A (sky130_fd_sc_hd__buf_12) - 0.47 0.36 33.10 ^ soc/core/repeater811/X (sky130_fd_sc_hd__buf_12) - 80 0.43 soc/core/net811 (net) - 0.60 0.20 33.30 ^ soc/core/_30402_/S0 (sky130_fd_sc_hd__mux4_1) - 0.13 0.73 34.03 v soc/core/_30402_/X (sky130_fd_sc_hd__mux4_1) - 1 0.01 soc/core/_01316_ (net) - 0.13 0.00 34.03 v soc/core/_30406_/A0 (sky130_fd_sc_hd__mux4_2) - 0.17 0.65 34.68 v soc/core/_30406_/X (sky130_fd_sc_hd__mux4_2) - 2 0.04 soc/core/_01320_ (net) - 0.18 0.01 34.69 v soc/core/_29067_/A1 (sky130_fd_sc_hd__mux2_4) - 0.12 0.40 35.09 v soc/core/_29067_/X (sky130_fd_sc_hd__mux2_4) - 2 0.05 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[17] (net) - 0.12 0.01 35.10 v soc/core/_31664_/D (sky130_fd_sc_hd__dfxtp_1) - 35.10 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_2_0_core_clk (net) - 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_2_1_core_clk (net) - 0.14 0.01 35.11 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.16 35.28 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_4_0_core_clk (net) - 0.05 0.00 35.28 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.12 35.39 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_4_1_core_clk (net) - 0.04 0.00 35.39 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.13 0.18 35.58 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_4_2_core_clk (net) - 0.13 0.01 35.58 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.20 35.78 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_8_0_core_clk (net) - 0.10 0.00 35.78 ^ soc/core/clkbuf_5_17_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.14 35.92 ^ soc/core/clkbuf_5_17_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_17_0_core_clk (net) - 0.04 0.00 35.92 ^ soc/core/clkbuf_5_17_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.77 0.60 36.52 ^ soc/core/clkbuf_5_17_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 32 0.26 soc/core/clknet_5_17_1_core_clk (net) - 0.77 0.02 36.54 ^ soc/core/clkbuf_leaf_322_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.09 0.30 36.84 ^ soc/core/clkbuf_leaf_322_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 26 0.06 soc/core/clknet_leaf_322_core_clk (net) - 0.09 0.00 36.84 ^ soc/core/_31664_/CLK (sky130_fd_sc_hd__dfxtp_1) - -0.25 36.59 clock uncertainty - 1.80 38.39 clock reconvergence pessimism - -0.13 38.25 library setup time - 38.25 data required time ------------------------------------------------------------------------------ - 38.25 data required time - -35.10 data arrival time ------------------------------------------------------------------------------ - 3.15 slack (MET) - - -Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 - (falling edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31661_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 12.50 12.50 clock clock (fall edge) - 0.00 12.50 clock source latency - 6.03 5.21 17.71 v clock (in) - 1 1.12 clock (net) - 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.00 clocking/clknet_0_ext_clk (net) - 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_1_0_core_clk (net) - 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_2_1_1_core_clk (net) - 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_0_core_clk (net) - 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_1_core_clk (net) - 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_2_2_core_clk (net) - 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_4_0_core_clk (net) - 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_8_0_core_clk (net) - 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.18 soc/core/clknet_5_8_1_core_clk (net) - 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) - 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) - 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.02 soc/core/clknet_leaf_21_core_clk (net) - 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) - 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) - 2 0.03 soc/core/sram_bus_dat_r[15] (net) - 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) - 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.05 soc/core/net2501 (net) - 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2500 (net) - 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) - 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2499 (net) - 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2498 (net) - 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2497 (net) - 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) - 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) - 1 0.00 soc/core/_11276_ (net) - 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) - 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) - 1 0.01 soc/core/_11277_ (net) - 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) - 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) - 1 0.00 soc/core/_11278_ (net) - 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) - 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) - 2 0.06 soc/core/net4058 (net) - 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) - 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) - 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) - 1 0.01 soc/core/net4057 (net) - 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) - 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) - 8 0.07 soc/core/net4056 (net) - 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) - 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) - 1 0.02 soc/core/_01130_ (net) - 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) - 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) - 1 0.01 soc/core/_01131_ (net) - 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) - 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) - 8 0.09 soc/core/_01132_ (net) - 0.17 0.01 31.22 ^ soc/core/repeater816/A (sky130_fd_sc_hd__buf_12) - 0.44 0.36 31.58 ^ soc/core/repeater816/X (sky130_fd_sc_hd__buf_12) - 66 0.40 soc/core/net816 (net) - 0.50 0.13 31.72 ^ soc/core/repeater813/A (sky130_fd_sc_hd__buf_12) - 0.48 0.43 32.15 ^ soc/core/repeater813/X (sky130_fd_sc_hd__buf_12) - 78 0.44 soc/core/net813 (net) - 0.56 0.16 32.30 ^ soc/core/repeater812/A (sky130_fd_sc_hd__buf_12) - 0.44 0.39 32.69 ^ soc/core/repeater812/X (sky130_fd_sc_hd__buf_12) - 70 0.40 soc/core/net812 (net) - 0.44 0.04 32.73 ^ soc/core/repeater811/A (sky130_fd_sc_hd__buf_12) - 0.47 0.36 33.10 ^ soc/core/repeater811/X (sky130_fd_sc_hd__buf_12) - 80 0.43 soc/core/net811 (net) - 0.63 0.23 33.33 ^ soc/core/_30375_/S0 (sky130_fd_sc_hd__mux4_1) - 0.12 0.73 34.06 v soc/core/_30375_/X (sky130_fd_sc_hd__mux4_1) - 1 0.01 soc/core/_01289_ (net) - 0.12 0.00 34.06 v soc/core/_30376_/A3 (sky130_fd_sc_hd__mux4_2) - 0.15 0.62 34.68 v soc/core/_30376_/X (sky130_fd_sc_hd__mux4_2) - 2 0.03 soc/core/_01290_ (net) - 0.15 0.00 34.68 v soc/core/_29064_/A1 (sky130_fd_sc_hd__mux2_2) - 0.11 0.39 35.07 v soc/core/_29064_/X (sky130_fd_sc_hd__mux2_2) - 2 0.02 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[14] (net) - 0.11 0.00 35.07 v soc/core/_31661_/D (sky130_fd_sc_hd__dfxtp_2) - 35.07 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_2_0_core_clk (net) - 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_2_1_core_clk (net) - 0.14 0.01 35.11 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.16 35.28 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_5_0_core_clk (net) - 0.05 0.00 35.28 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.12 35.40 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_5_1_core_clk (net) - 0.04 0.00 35.40 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.11 0.17 35.57 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_3_5_2_core_clk (net) - 0.11 0.00 35.58 ^ soc/core/clkbuf_4_11_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.19 35.77 ^ soc/core/clkbuf_4_11_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_11_0_core_clk (net) - 0.10 0.00 35.77 ^ soc/core/clkbuf_5_23_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.14 35.91 ^ soc/core/clkbuf_5_23_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_23_0_core_clk (net) - 0.04 0.00 35.91 ^ soc/core/clkbuf_5_23_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.79 0.62 36.53 ^ soc/core/clkbuf_5_23_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 34 0.27 soc/core/clknet_5_23_1_core_clk (net) - 0.79 0.01 36.54 ^ soc/core/clkbuf_leaf_292_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.09 0.30 36.84 ^ soc/core/clkbuf_leaf_292_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 22 0.06 soc/core/clknet_leaf_292_core_clk (net) - 0.09 0.00 36.84 ^ soc/core/_31661_/CLK (sky130_fd_sc_hd__dfxtp_2) - -0.25 36.59 clock uncertainty - 1.80 38.39 clock reconvergence pessimism - -0.13 38.26 library setup time - 38.26 data required time ------------------------------------------------------------------------------ - 38.26 data required time - -35.07 data arrival time ------------------------------------------------------------------------------ - 3.19 slack (MET) - - -Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 - (falling edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31666_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 12.50 12.50 clock clock (fall edge) - 0.00 12.50 clock source latency - 6.03 5.21 17.71 v clock (in) - 1 1.12 clock (net) - 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.00 clocking/clknet_0_ext_clk (net) - 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_1_0_core_clk (net) - 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_2_1_1_core_clk (net) - 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_0_core_clk (net) - 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_1_core_clk (net) - 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_2_2_core_clk (net) - 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_4_0_core_clk (net) - 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_8_0_core_clk (net) - 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.18 soc/core/clknet_5_8_1_core_clk (net) - 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) - 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) - 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.02 soc/core/clknet_leaf_21_core_clk (net) - 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) - 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) - 2 0.03 soc/core/sram_bus_dat_r[15] (net) - 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) - 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.05 soc/core/net2501 (net) - 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2500 (net) - 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) - 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2499 (net) - 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2498 (net) - 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2497 (net) - 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) - 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) - 1 0.00 soc/core/_11276_ (net) - 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) - 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) - 1 0.01 soc/core/_11277_ (net) - 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) - 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) - 1 0.00 soc/core/_11278_ (net) - 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) - 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) - 2 0.06 soc/core/net4058 (net) - 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) - 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) - 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) - 1 0.01 soc/core/net4057 (net) - 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) - 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) - 8 0.07 soc/core/net4056 (net) - 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) - 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) - 1 0.02 soc/core/_01130_ (net) - 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) - 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) - 1 0.01 soc/core/_01131_ (net) - 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) - 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) - 8 0.09 soc/core/_01132_ (net) - 0.17 0.01 31.22 ^ soc/core/repeater816/A (sky130_fd_sc_hd__buf_12) - 0.44 0.36 31.58 ^ soc/core/repeater816/X (sky130_fd_sc_hd__buf_12) - 66 0.40 soc/core/net816 (net) - 0.50 0.13 31.72 ^ soc/core/repeater813/A (sky130_fd_sc_hd__buf_12) - 0.48 0.43 32.15 ^ soc/core/repeater813/X (sky130_fd_sc_hd__buf_12) - 78 0.44 soc/core/net813 (net) - 0.56 0.16 32.30 ^ soc/core/repeater812/A (sky130_fd_sc_hd__buf_12) - 0.44 0.39 32.69 ^ soc/core/repeater812/X (sky130_fd_sc_hd__buf_12) - 70 0.40 soc/core/net812 (net) - 0.44 0.04 32.73 ^ soc/core/repeater811/A (sky130_fd_sc_hd__buf_12) - 0.47 0.36 33.10 ^ soc/core/repeater811/X (sky130_fd_sc_hd__buf_12) - 80 0.43 soc/core/net811 (net) - 0.62 0.22 33.32 ^ soc/core/_30419_/S0 (sky130_fd_sc_hd__mux4_1) - 0.10 0.69 34.01 v soc/core/_30419_/X (sky130_fd_sc_hd__mux4_1) - 1 0.01 soc/core/_01333_ (net) - 0.10 0.00 34.01 v soc/core/_30421_/A2 (sky130_fd_sc_hd__mux4_1) - 0.12 0.58 34.60 v soc/core/_30421_/X (sky130_fd_sc_hd__mux4_1) - 1 0.01 soc/core/_01335_ (net) - 0.12 0.00 34.60 v soc/core/_29069_/A0 (sky130_fd_sc_hd__mux2_1) - 0.13 0.41 35.00 v soc/core/_29069_/X (sky130_fd_sc_hd__mux2_1) - 1 0.02 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[19] (net) - 0.13 0.00 35.00 v soc/core/_31666_/D (sky130_fd_sc_hd__dfxtp_4) - 35.00 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_2_0_core_clk (net) - 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_2_1_core_clk (net) - 0.14 0.01 35.11 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.16 35.28 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_5_0_core_clk (net) - 0.05 0.00 35.28 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.12 35.40 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_5_1_core_clk (net) - 0.04 0.00 35.40 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.11 0.17 35.57 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_3_5_2_core_clk (net) - 0.11 0.00 35.58 ^ soc/core/clkbuf_4_11_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.19 35.77 ^ soc/core/clkbuf_4_11_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_11_0_core_clk (net) - 0.10 0.00 35.77 ^ soc/core/clkbuf_5_23_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.14 35.91 ^ soc/core/clkbuf_5_23_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_23_0_core_clk (net) - 0.04 0.00 35.91 ^ soc/core/clkbuf_5_23_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.79 0.62 36.53 ^ soc/core/clkbuf_5_23_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 34 0.27 soc/core/clknet_5_23_1_core_clk (net) - 0.79 0.01 36.54 ^ soc/core/clkbuf_leaf_292_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.09 0.30 36.84 ^ soc/core/clkbuf_leaf_292_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 22 0.06 soc/core/clknet_leaf_292_core_clk (net) - 0.09 0.00 36.84 ^ soc/core/_31666_/CLK (sky130_fd_sc_hd__dfxtp_4) - -0.25 36.59 clock uncertainty - 1.80 38.39 clock reconvergence pessimism - -0.14 38.25 library setup time - 38.25 data required time ------------------------------------------------------------------------------ - 38.25 data required time - -35.00 data arrival time ------------------------------------------------------------------------------ - 3.24 slack (MET) - - -Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 - (falling edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31665_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 12.50 12.50 clock clock (fall edge) - 0.00 12.50 clock source latency - 6.03 5.21 17.71 v clock (in) - 1 1.12 clock (net) - 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.00 clocking/clknet_0_ext_clk (net) - 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_1_0_core_clk (net) - 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_2_1_1_core_clk (net) - 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_0_core_clk (net) - 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_1_core_clk (net) - 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_2_2_core_clk (net) - 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_4_0_core_clk (net) - 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_8_0_core_clk (net) - 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.18 soc/core/clknet_5_8_1_core_clk (net) - 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) - 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) - 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.02 soc/core/clknet_leaf_21_core_clk (net) - 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) - 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) - 2 0.03 soc/core/sram_bus_dat_r[15] (net) - 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) - 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.05 soc/core/net2501 (net) - 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2500 (net) - 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) - 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2499 (net) - 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2498 (net) - 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2497 (net) - 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) - 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) - 1 0.00 soc/core/_11276_ (net) - 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) - 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) - 1 0.01 soc/core/_11277_ (net) - 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) - 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) - 1 0.00 soc/core/_11278_ (net) - 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) - 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) - 2 0.06 soc/core/net4058 (net) - 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) - 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) - 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) - 1 0.01 soc/core/net4057 (net) - 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) - 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) - 8 0.07 soc/core/net4056 (net) - 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) - 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) - 1 0.02 soc/core/_01130_ (net) - 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) - 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) - 1 0.01 soc/core/_01131_ (net) - 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) - 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) - 8 0.09 soc/core/_01132_ (net) - 0.17 0.01 31.22 ^ soc/core/repeater816/A (sky130_fd_sc_hd__buf_12) - 0.44 0.36 31.58 ^ soc/core/repeater816/X (sky130_fd_sc_hd__buf_12) - 66 0.40 soc/core/net816 (net) - 0.50 0.13 31.72 ^ soc/core/repeater813/A (sky130_fd_sc_hd__buf_12) - 0.48 0.43 32.15 ^ soc/core/repeater813/X (sky130_fd_sc_hd__buf_12) - 78 0.44 soc/core/net813 (net) - 0.56 0.16 32.30 ^ soc/core/repeater812/A (sky130_fd_sc_hd__buf_12) - 0.44 0.39 32.69 ^ soc/core/repeater812/X (sky130_fd_sc_hd__buf_12) - 70 0.40 soc/core/net812 (net) - 0.44 0.04 32.73 ^ soc/core/repeater811/A (sky130_fd_sc_hd__buf_12) - 0.47 0.36 33.10 ^ soc/core/repeater811/X (sky130_fd_sc_hd__buf_12) - 80 0.43 soc/core/net811 (net) - 0.59 0.19 33.29 ^ soc/core/_30413_/S0 (sky130_fd_sc_hd__mux4_1) - 0.14 0.74 34.03 v soc/core/_30413_/X (sky130_fd_sc_hd__mux4_1) - 1 0.01 soc/core/_01327_ (net) - 0.14 0.00 34.03 v soc/core/_30416_/A1 (sky130_fd_sc_hd__mux4_2) - 0.12 0.58 34.61 v soc/core/_30416_/X (sky130_fd_sc_hd__mux4_2) - 1 0.02 soc/core/_01330_ (net) - 0.12 0.00 34.61 v soc/core/_29068_/A1 (sky130_fd_sc_hd__mux2_4) - 0.12 0.38 34.99 v soc/core/_29068_/X (sky130_fd_sc_hd__mux2_4) - 2 0.05 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[18] (net) - 0.12 0.01 34.99 v soc/core/_31665_/D (sky130_fd_sc_hd__dfxtp_1) - 34.99 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_2_0_core_clk (net) - 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_2_1_core_clk (net) - 0.14 0.01 35.11 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.16 35.28 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_4_0_core_clk (net) - 0.05 0.00 35.28 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.12 35.39 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_4_1_core_clk (net) - 0.04 0.00 35.39 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.13 0.18 35.58 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_4_2_core_clk (net) - 0.13 0.01 35.58 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.20 35.78 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_8_0_core_clk (net) - 0.10 0.00 35.78 ^ soc/core/clkbuf_5_17_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.14 35.92 ^ soc/core/clkbuf_5_17_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_17_0_core_clk (net) - 0.04 0.00 35.92 ^ soc/core/clkbuf_5_17_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.77 0.60 36.52 ^ soc/core/clkbuf_5_17_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 32 0.26 soc/core/clknet_5_17_1_core_clk (net) - 0.77 0.02 36.54 ^ soc/core/clkbuf_leaf_324_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.09 0.29 36.83 ^ soc/core/clkbuf_leaf_324_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 20 0.05 soc/core/clknet_leaf_324_core_clk (net) - 0.09 0.00 36.83 ^ soc/core/_31665_/CLK (sky130_fd_sc_hd__dfxtp_1) - -0.25 36.58 clock uncertainty - 1.80 38.38 clock reconvergence pessimism - -0.13 38.25 library setup time - 38.25 data required time ------------------------------------------------------------------------------ - 38.25 data required time - -34.99 data arrival time ------------------------------------------------------------------------------ - 3.25 slack (MET) - - -Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 - (falling edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31678_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 12.50 12.50 clock clock (fall edge) - 0.00 12.50 clock source latency - 6.03 5.21 17.71 v clock (in) - 1 1.12 clock (net) - 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.00 clocking/clknet_0_ext_clk (net) - 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_1_0_core_clk (net) - 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_2_1_1_core_clk (net) - 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_0_core_clk (net) - 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_1_core_clk (net) - 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_2_2_core_clk (net) - 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_4_0_core_clk (net) - 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_8_0_core_clk (net) - 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.18 soc/core/clknet_5_8_1_core_clk (net) - 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) - 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) - 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.02 soc/core/clknet_leaf_21_core_clk (net) - 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) - 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) - 2 0.03 soc/core/sram_bus_dat_r[15] (net) - 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) - 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.05 soc/core/net2501 (net) - 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2500 (net) - 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) - 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2499 (net) - 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2498 (net) - 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2497 (net) - 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) - 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) - 1 0.00 soc/core/_11276_ (net) - 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) - 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) - 1 0.01 soc/core/_11277_ (net) - 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) - 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) - 1 0.00 soc/core/_11278_ (net) - 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) - 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) - 2 0.06 soc/core/net4058 (net) - 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) - 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) - 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) - 1 0.01 soc/core/net4057 (net) - 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) - 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) - 8 0.07 soc/core/net4056 (net) - 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) - 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) - 1 0.02 soc/core/_01130_ (net) - 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) - 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) - 1 0.01 soc/core/_01131_ (net) - 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) - 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) - 8 0.09 soc/core/_01132_ (net) - 0.17 0.01 31.22 ^ soc/core/repeater817/A (sky130_fd_sc_hd__buf_12) - 0.47 0.31 31.53 ^ soc/core/repeater817/X (sky130_fd_sc_hd__buf_12) - 78 0.44 soc/core/net817 (net) - 0.67 0.26 31.79 ^ soc/core/repeater815/A (sky130_fd_sc_hd__buf_12) - 0.46 0.36 32.15 ^ soc/core/repeater815/X (sky130_fd_sc_hd__buf_12) - 76 0.44 soc/core/net815 (net) - 0.71 0.30 32.45 ^ soc/core/repeater814/A (sky130_fd_sc_hd__buf_12) - 0.44 0.36 32.80 ^ soc/core/repeater814/X (sky130_fd_sc_hd__buf_12) - 72 0.42 soc/core/net814 (net) - 0.70 0.30 33.10 ^ soc/core/_30540_/S0 (sky130_fd_sc_hd__mux4_1) - 0.10 0.70 33.80 v soc/core/_30540_/X (sky130_fd_sc_hd__mux4_1) - 1 0.01 soc/core/_01454_ (net) - 0.10 0.00 33.80 v soc/core/_30541_/A3 (sky130_fd_sc_hd__mux4_2) - 0.21 0.69 34.49 v soc/core/_30541_/X (sky130_fd_sc_hd__mux4_2) - 2 0.06 soc/core/_01455_ (net) - 0.22 0.02 34.51 v soc/core/_29081_/A0 (sky130_fd_sc_hd__mux2_8) - 0.21 0.54 35.05 v soc/core/_29081_/X (sky130_fd_sc_hd__mux2_8) - 2 0.21 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[31] (net) - 0.22 0.04 35.09 v soc/core/_31678_/D (sky130_fd_sc_hd__dfxtp_1) - 35.09 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_2_0_core_clk (net) - 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_2_1_core_clk (net) - 0.14 0.01 35.11 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.16 35.28 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_4_0_core_clk (net) - 0.05 0.00 35.28 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.12 35.39 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_4_1_core_clk (net) - 0.04 0.00 35.39 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.13 0.18 35.58 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_4_2_core_clk (net) - 0.13 0.01 35.58 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.20 35.78 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_8_0_core_clk (net) - 0.10 0.00 35.78 ^ soc/core/clkbuf_5_16_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.14 35.92 ^ soc/core/clkbuf_5_16_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_16_0_core_clk (net) - 0.04 0.00 35.92 ^ soc/core/clkbuf_5_16_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.93 0.71 36.63 ^ soc/core/clkbuf_5_16_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 38 0.32 soc/core/clknet_5_16_1_core_clk (net) - 0.94 0.03 36.66 ^ soc/core/clkbuf_leaf_349_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.10 0.31 36.97 ^ soc/core/clkbuf_leaf_349_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 24 0.06 soc/core/clknet_leaf_349_core_clk (net) - 0.10 0.00 36.97 ^ soc/core/_31678_/CLK (sky130_fd_sc_hd__dfxtp_1) - -0.25 36.72 clock uncertainty - 1.80 38.52 clock reconvergence pessimism - -0.18 38.34 library setup time - 38.34 data required time ------------------------------------------------------------------------------ - 38.34 data required time - -35.09 data arrival time ------------------------------------------------------------------------------ - 3.25 slack (MET) - - -Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 - (falling edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31663_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 12.50 12.50 clock clock (fall edge) - 0.00 12.50 clock source latency - 6.03 5.21 17.71 v clock (in) - 1 1.12 clock (net) - 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.00 clocking/clknet_0_ext_clk (net) - 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_1_0_core_clk (net) - 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_2_1_1_core_clk (net) - 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_0_core_clk (net) - 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_1_core_clk (net) - 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_2_2_core_clk (net) - 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_4_0_core_clk (net) - 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_8_0_core_clk (net) - 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.18 soc/core/clknet_5_8_1_core_clk (net) - 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) - 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) - 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.02 soc/core/clknet_leaf_21_core_clk (net) - 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) - 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) - 2 0.03 soc/core/sram_bus_dat_r[15] (net) - 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) - 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.05 soc/core/net2501 (net) - 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2500 (net) - 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) - 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2499 (net) - 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2498 (net) - 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2497 (net) - 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) - 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) - 1 0.00 soc/core/_11276_ (net) - 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) - 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) - 1 0.01 soc/core/_11277_ (net) - 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) - 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) - 1 0.00 soc/core/_11278_ (net) - 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) - 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) - 2 0.06 soc/core/net4058 (net) - 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) - 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) - 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) - 1 0.01 soc/core/net4057 (net) - 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) - 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) - 8 0.07 soc/core/net4056 (net) - 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) - 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) - 1 0.02 soc/core/_01130_ (net) - 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) - 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) - 1 0.01 soc/core/_01131_ (net) - 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) - 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) - 8 0.09 soc/core/_01132_ (net) - 0.17 0.01 31.22 ^ soc/core/repeater816/A (sky130_fd_sc_hd__buf_12) - 0.44 0.36 31.58 ^ soc/core/repeater816/X (sky130_fd_sc_hd__buf_12) - 66 0.40 soc/core/net816 (net) - 0.50 0.13 31.72 ^ soc/core/repeater813/A (sky130_fd_sc_hd__buf_12) - 0.48 0.43 32.15 ^ soc/core/repeater813/X (sky130_fd_sc_hd__buf_12) - 78 0.44 soc/core/net813 (net) - 0.56 0.16 32.30 ^ soc/core/repeater812/A (sky130_fd_sc_hd__buf_12) - 0.44 0.39 32.69 ^ soc/core/repeater812/X (sky130_fd_sc_hd__buf_12) - 70 0.40 soc/core/net812 (net) - 0.44 0.04 32.73 ^ soc/core/repeater811/A (sky130_fd_sc_hd__buf_12) - 0.47 0.36 33.10 ^ soc/core/repeater811/X (sky130_fd_sc_hd__buf_12) - 80 0.43 soc/core/net811 (net) - 0.61 0.21 33.31 ^ soc/core/_30394_/S0 (sky130_fd_sc_hd__mux4_1) - 0.10 0.69 33.99 v soc/core/_30394_/X (sky130_fd_sc_hd__mux4_1) - 1 0.01 soc/core/_01308_ (net) - 0.10 0.00 34.00 v soc/core/_30396_/A2 (sky130_fd_sc_hd__mux4_2) - 0.13 0.59 34.58 v soc/core/_30396_/X (sky130_fd_sc_hd__mux4_2) - 2 0.02 soc/core/_01310_ (net) - 0.13 0.00 34.58 v soc/core/_29066_/A1 (sky130_fd_sc_hd__mux2_1) - 0.07 0.34 34.93 v soc/core/_29066_/X (sky130_fd_sc_hd__mux2_1) - 1 0.00 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[16] (net) - 0.07 0.00 34.93 v soc/core/_31663_/D (sky130_fd_sc_hd__dfxtp_4) - 34.93 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_2_0_core_clk (net) - 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_2_1_core_clk (net) - 0.14 0.01 35.11 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.16 35.28 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_5_0_core_clk (net) - 0.05 0.00 35.28 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.12 35.40 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_5_1_core_clk (net) - 0.04 0.00 35.40 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.11 0.17 35.57 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_3_5_2_core_clk (net) - 0.11 0.00 35.58 ^ soc/core/clkbuf_4_11_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.19 35.77 ^ soc/core/clkbuf_4_11_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_11_0_core_clk (net) - 0.10 0.00 35.77 ^ soc/core/clkbuf_5_23_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.14 35.91 ^ soc/core/clkbuf_5_23_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_23_0_core_clk (net) - 0.04 0.00 35.91 ^ soc/core/clkbuf_5_23_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.79 0.62 36.53 ^ soc/core/clkbuf_5_23_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 34 0.27 soc/core/clknet_5_23_1_core_clk (net) - 0.79 0.01 36.54 ^ soc/core/clkbuf_leaf_292_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.09 0.30 36.84 ^ soc/core/clkbuf_leaf_292_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 22 0.06 soc/core/clknet_leaf_292_core_clk (net) - 0.09 0.00 36.84 ^ soc/core/_31663_/CLK (sky130_fd_sc_hd__dfxtp_4) - -0.25 36.59 clock uncertainty - 1.80 38.39 clock reconvergence pessimism - -0.11 38.28 library setup time - 38.28 data required time ------------------------------------------------------------------------------ - 38.28 data required time - -34.93 data arrival time ------------------------------------------------------------------------------ - 3.35 slack (MET) - - -Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 - (falling edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31677_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 12.50 12.50 clock clock (fall edge) - 0.00 12.50 clock source latency - 6.03 5.21 17.71 v clock (in) - 1 1.12 clock (net) - 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.00 clocking/clknet_0_ext_clk (net) - 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_1_0_core_clk (net) - 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_2_1_1_core_clk (net) - 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_0_core_clk (net) - 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_1_core_clk (net) - 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_2_2_core_clk (net) - 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_4_0_core_clk (net) - 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_8_0_core_clk (net) - 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.18 soc/core/clknet_5_8_1_core_clk (net) - 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) - 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) - 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.02 soc/core/clknet_leaf_21_core_clk (net) - 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) - 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) - 2 0.03 soc/core/sram_bus_dat_r[15] (net) - 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) - 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.05 soc/core/net2501 (net) - 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2500 (net) - 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) - 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2499 (net) - 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2498 (net) - 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2497 (net) - 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) - 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) - 1 0.00 soc/core/_11276_ (net) - 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) - 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) - 1 0.01 soc/core/_11277_ (net) - 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) - 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) - 1 0.00 soc/core/_11278_ (net) - 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) - 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) - 2 0.06 soc/core/net4058 (net) - 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) - 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) - 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) - 1 0.01 soc/core/net4057 (net) - 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) - 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) - 8 0.07 soc/core/net4056 (net) - 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) - 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) - 1 0.02 soc/core/_01130_ (net) - 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) - 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) - 1 0.01 soc/core/_01131_ (net) - 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) - 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) - 8 0.09 soc/core/_01132_ (net) - 0.17 0.01 31.22 ^ soc/core/repeater817/A (sky130_fd_sc_hd__buf_12) - 0.47 0.31 31.53 ^ soc/core/repeater817/X (sky130_fd_sc_hd__buf_12) - 78 0.44 soc/core/net817 (net) - 0.67 0.26 31.79 ^ soc/core/repeater815/A (sky130_fd_sc_hd__buf_12) - 0.46 0.36 32.15 ^ soc/core/repeater815/X (sky130_fd_sc_hd__buf_12) - 76 0.44 soc/core/net815 (net) - 0.71 0.30 32.45 ^ soc/core/repeater814/A (sky130_fd_sc_hd__buf_12) - 0.44 0.36 32.80 ^ soc/core/repeater814/X (sky130_fd_sc_hd__buf_12) - 72 0.42 soc/core/net814 (net) - 0.69 0.29 33.09 ^ soc/core/_30529_/S0 (sky130_fd_sc_hd__mux4_1) - 0.13 0.75 33.84 v soc/core/_30529_/X (sky130_fd_sc_hd__mux4_1) - 1 0.01 soc/core/_01443_ (net) - 0.13 0.00 33.84 v soc/core/_30531_/A2 (sky130_fd_sc_hd__mux4_2) - 0.19 0.68 34.52 v soc/core/_30531_/X (sky130_fd_sc_hd__mux4_2) - 2 0.05 soc/core/_01445_ (net) - 0.20 0.01 34.53 v soc/core/_29080_/A0 (sky130_fd_sc_hd__mux2_8) - 0.15 0.50 35.02 v soc/core/_29080_/X (sky130_fd_sc_hd__mux2_8) - 2 0.14 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[30] (net) - 0.15 0.02 35.04 v soc/core/_31677_/D (sky130_fd_sc_hd__dfxtp_1) - 35.04 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 33.99 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.12 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.05 0.00 34.12 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.25 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.05 0.00 34.25 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.38 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.05 0.00 34.39 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.11 34.49 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.03 0.00 34.49 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.24 0.24 34.74 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.25 0.03 34.76 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.17 34.94 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_0_0_core_clk (net) - 0.03 0.00 34.94 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.52 0.40 35.34 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.18 soc/core/clknet_2_0_1_core_clk (net) - 0.54 0.07 35.41 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.23 35.63 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_3_1_0_core_clk (net) - 0.04 0.00 35.63 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.11 35.74 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_3_1_1_core_clk (net) - 0.03 0.00 35.74 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.14 35.88 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.02 soc/core/clknet_3_1_2_core_clk (net) - 0.08 0.00 35.89 ^ soc/core/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.06 0.15 36.04 ^ soc/core/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 2 0.02 soc/core/clknet_4_2_0_core_clk (net) - 0.06 0.00 36.04 ^ soc/core/clkbuf_5_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.12 36.16 ^ soc/core/clkbuf_5_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_5_0_core_clk (net) - 0.04 0.00 36.16 ^ soc/core/clkbuf_5_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.56 0.47 36.63 ^ soc/core/clkbuf_5_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 24 0.19 soc/core/clknet_5_5_1_core_clk (net) - 0.56 0.02 36.64 ^ soc/core/clkbuf_leaf_387_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.09 0.27 36.91 ^ soc/core/clkbuf_leaf_387_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 18 0.06 soc/core/clknet_leaf_387_core_clk (net) - 0.09 0.00 36.91 ^ soc/core/_31677_/CLK (sky130_fd_sc_hd__dfxtp_1) - -0.25 36.66 clock uncertainty - 1.87 38.54 clock reconvergence pessimism - -0.15 38.39 library setup time - 38.39 data required time ------------------------------------------------------------------------------ - 38.39 data required time - -35.04 data arrival time ------------------------------------------------------------------------------ - 3.35 slack (MET) - - -Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 - (falling edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31669_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 12.50 12.50 clock clock (fall edge) - 0.00 12.50 clock source latency - 6.03 5.21 17.71 v clock (in) - 1 1.12 clock (net) - 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.00 clocking/clknet_0_ext_clk (net) - 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_1_0_core_clk (net) - 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_2_1_1_core_clk (net) - 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_0_core_clk (net) - 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_1_core_clk (net) - 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_2_2_core_clk (net) - 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_4_0_core_clk (net) - 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_8_0_core_clk (net) - 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.18 soc/core/clknet_5_8_1_core_clk (net) - 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) - 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) - 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.02 soc/core/clknet_leaf_21_core_clk (net) - 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) - 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) - 2 0.03 soc/core/sram_bus_dat_r[15] (net) - 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) - 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.05 soc/core/net2501 (net) - 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2500 (net) - 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) - 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2499 (net) - 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2498 (net) - 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2497 (net) - 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) - 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) - 1 0.00 soc/core/_11276_ (net) - 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) - 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) - 1 0.01 soc/core/_11277_ (net) - 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) - 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) - 1 0.00 soc/core/_11278_ (net) - 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) - 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) - 2 0.06 soc/core/net4058 (net) - 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) - 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) - 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) - 1 0.01 soc/core/net4057 (net) - 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) - 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) - 8 0.07 soc/core/net4056 (net) - 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) - 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) - 1 0.02 soc/core/_01130_ (net) - 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) - 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) - 1 0.01 soc/core/_01131_ (net) - 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) - 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) - 8 0.09 soc/core/_01132_ (net) - 0.17 0.01 31.22 ^ soc/core/repeater816/A (sky130_fd_sc_hd__buf_12) - 0.44 0.36 31.58 ^ soc/core/repeater816/X (sky130_fd_sc_hd__buf_12) - 66 0.40 soc/core/net816 (net) - 0.50 0.13 31.72 ^ soc/core/repeater813/A (sky130_fd_sc_hd__buf_12) - 0.48 0.43 32.15 ^ soc/core/repeater813/X (sky130_fd_sc_hd__buf_12) - 78 0.44 soc/core/net813 (net) - 0.56 0.16 32.30 ^ soc/core/repeater812/A (sky130_fd_sc_hd__buf_12) - 0.44 0.39 32.69 ^ soc/core/repeater812/X (sky130_fd_sc_hd__buf_12) - 70 0.40 soc/core/net812 (net) - 0.44 0.04 32.73 ^ soc/core/repeater811/A (sky130_fd_sc_hd__buf_12) - 0.47 0.36 33.10 ^ soc/core/repeater811/X (sky130_fd_sc_hd__buf_12) - 80 0.43 soc/core/net811 (net) - 0.48 0.06 33.16 ^ soc/core/_30448_/S0 (sky130_fd_sc_hd__mux4_1) - 0.12 0.70 33.86 v soc/core/_30448_/X (sky130_fd_sc_hd__mux4_1) - 1 0.01 soc/core/_01362_ (net) - 0.12 0.00 33.86 v soc/core/_30451_/A1 (sky130_fd_sc_hd__mux4_1) - 0.11 0.59 34.45 v soc/core/_30451_/X (sky130_fd_sc_hd__mux4_1) - 1 0.01 soc/core/_01365_ (net) - 0.11 0.00 34.45 v soc/core/_29072_/A0 (sky130_fd_sc_hd__mux2_2) - 0.13 0.40 34.85 v soc/core/_29072_/X (sky130_fd_sc_hd__mux2_2) - 2 0.04 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[22] (net) - 0.13 0.01 34.85 v soc/core/_31669_/D (sky130_fd_sc_hd__dfxtp_1) - 34.85 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_2_0_core_clk (net) - 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_2_1_core_clk (net) - 0.14 0.01 35.11 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.16 35.28 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_4_0_core_clk (net) - 0.05 0.00 35.28 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.12 35.39 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_4_1_core_clk (net) - 0.04 0.00 35.39 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.13 0.18 35.58 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_4_2_core_clk (net) - 0.13 0.01 35.58 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.20 35.78 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_8_0_core_clk (net) - 0.10 0.00 35.78 ^ soc/core/clkbuf_5_17_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.14 35.92 ^ soc/core/clkbuf_5_17_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_17_0_core_clk (net) - 0.04 0.00 35.92 ^ soc/core/clkbuf_5_17_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.77 0.60 36.52 ^ soc/core/clkbuf_5_17_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 32 0.26 soc/core/clknet_5_17_1_core_clk (net) - 0.77 0.01 36.52 ^ soc/core/clkbuf_leaf_356_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.10 0.31 36.83 ^ soc/core/clkbuf_leaf_356_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 30 0.08 soc/core/clknet_leaf_356_core_clk (net) - 0.10 0.00 36.83 ^ soc/core/_31669_/CLK (sky130_fd_sc_hd__dfxtp_1) - -0.25 36.58 clock uncertainty - 1.80 38.38 clock reconvergence pessimism - -0.13 38.25 library setup time - 38.25 data required time ------------------------------------------------------------------------------ - 38.25 data required time - -34.85 data arrival time ------------------------------------------------------------------------------ - 3.39 slack (MET) - - -Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 - (falling edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31676_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 12.50 12.50 clock clock (fall edge) - 0.00 12.50 clock source latency - 6.03 5.21 17.71 v clock (in) - 1 1.12 clock (net) - 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.00 clocking/clknet_0_ext_clk (net) - 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_1_0_core_clk (net) - 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_2_1_1_core_clk (net) - 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_0_core_clk (net) - 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_1_core_clk (net) - 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_2_2_core_clk (net) - 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_4_0_core_clk (net) - 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_8_0_core_clk (net) - 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.18 soc/core/clknet_5_8_1_core_clk (net) - 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) - 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) - 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.02 soc/core/clknet_leaf_21_core_clk (net) - 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) - 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) - 2 0.03 soc/core/sram_bus_dat_r[15] (net) - 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) - 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.05 soc/core/net2501 (net) - 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2500 (net) - 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) - 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2499 (net) - 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2498 (net) - 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2497 (net) - 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) - 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) - 1 0.00 soc/core/_11276_ (net) - 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) - 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) - 1 0.01 soc/core/_11277_ (net) - 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) - 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) - 1 0.00 soc/core/_11278_ (net) - 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) - 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) - 2 0.06 soc/core/net4058 (net) - 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) - 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) - 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) - 1 0.01 soc/core/net4057 (net) - 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) - 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) - 8 0.07 soc/core/net4056 (net) - 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) - 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) - 1 0.02 soc/core/_01130_ (net) - 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) - 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) - 1 0.01 soc/core/_01131_ (net) - 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) - 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) - 8 0.09 soc/core/_01132_ (net) - 0.17 0.01 31.22 ^ soc/core/repeater817/A (sky130_fd_sc_hd__buf_12) - 0.47 0.31 31.53 ^ soc/core/repeater817/X (sky130_fd_sc_hd__buf_12) - 78 0.44 soc/core/net817 (net) - 0.67 0.26 31.79 ^ soc/core/repeater815/A (sky130_fd_sc_hd__buf_12) - 0.46 0.36 32.15 ^ soc/core/repeater815/X (sky130_fd_sc_hd__buf_12) - 76 0.44 soc/core/net815 (net) - 0.71 0.30 32.45 ^ soc/core/repeater814/A (sky130_fd_sc_hd__buf_12) - 0.44 0.36 32.80 ^ soc/core/repeater814/X (sky130_fd_sc_hd__buf_12) - 72 0.42 soc/core/net814 (net) - 0.69 0.29 33.09 ^ soc/core/_30518_/S0 (sky130_fd_sc_hd__mux4_1) - 0.11 0.71 33.79 v soc/core/_30518_/X (sky130_fd_sc_hd__mux4_1) - 1 0.01 soc/core/_01432_ (net) - 0.11 0.00 33.79 v soc/core/_30521_/A1 (sky130_fd_sc_hd__mux4_2) - 0.17 0.62 34.42 v soc/core/_30521_/X (sky130_fd_sc_hd__mux4_2) - 2 0.03 soc/core/_01435_ (net) - 0.17 0.00 34.42 v soc/core/_29079_/A0 (sky130_fd_sc_hd__mux2_8) - 0.19 0.50 34.93 v soc/core/_29079_/X (sky130_fd_sc_hd__mux2_8) - 2 0.18 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[29] (net) - 0.19 0.03 34.96 v soc/core/_31676_/D (sky130_fd_sc_hd__dfxtp_1) - 34.96 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 33.99 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.12 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.05 0.00 34.12 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.25 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.05 0.00 34.25 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.38 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.05 0.00 34.39 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.11 34.49 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.03 0.00 34.49 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.24 0.24 34.74 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.25 0.03 34.76 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.17 34.94 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_0_0_core_clk (net) - 0.03 0.00 34.94 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.52 0.40 35.34 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.18 soc/core/clknet_2_0_1_core_clk (net) - 0.54 0.07 35.41 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.23 35.63 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_3_1_0_core_clk (net) - 0.04 0.00 35.63 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.11 35.74 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_3_1_1_core_clk (net) - 0.03 0.00 35.74 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.14 35.88 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.02 soc/core/clknet_3_1_2_core_clk (net) - 0.08 0.00 35.89 ^ soc/core/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.06 0.15 36.04 ^ soc/core/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 2 0.02 soc/core/clknet_4_2_0_core_clk (net) - 0.06 0.00 36.04 ^ soc/core/clkbuf_5_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.12 36.16 ^ soc/core/clkbuf_5_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_5_0_core_clk (net) - 0.04 0.00 36.16 ^ soc/core/clkbuf_5_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.56 0.47 36.63 ^ soc/core/clkbuf_5_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 24 0.19 soc/core/clknet_5_5_1_core_clk (net) - 0.56 0.01 36.64 ^ soc/core/clkbuf_leaf_385_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.10 0.28 36.92 ^ soc/core/clkbuf_leaf_385_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 30 0.07 soc/core/clknet_leaf_385_core_clk (net) - 0.10 0.00 36.92 ^ soc/core/_31676_/CLK (sky130_fd_sc_hd__dfxtp_1) - -0.25 36.67 clock uncertainty - 1.87 38.54 clock reconvergence pessimism - -0.16 38.38 library setup time - 38.38 data required time ------------------------------------------------------------------------------ - 38.38 data required time - -34.96 data arrival time ------------------------------------------------------------------------------ - 3.42 slack (MET) - - -Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 - (falling edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31675_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 12.50 12.50 clock clock (fall edge) - 0.00 12.50 clock source latency - 6.03 5.21 17.71 v clock (in) - 1 1.12 clock (net) - 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.00 clocking/clknet_0_ext_clk (net) - 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_1_0_core_clk (net) - 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_2_1_1_core_clk (net) - 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_0_core_clk (net) - 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_1_core_clk (net) - 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_2_2_core_clk (net) - 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_4_0_core_clk (net) - 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_8_0_core_clk (net) - 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.18 soc/core/clknet_5_8_1_core_clk (net) - 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) - 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) - 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.02 soc/core/clknet_leaf_21_core_clk (net) - 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) - 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) - 2 0.03 soc/core/sram_bus_dat_r[15] (net) - 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) - 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.05 soc/core/net2501 (net) - 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2500 (net) - 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) - 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2499 (net) - 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2498 (net) - 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2497 (net) - 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) - 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) - 1 0.00 soc/core/_11276_ (net) - 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) - 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) - 1 0.01 soc/core/_11277_ (net) - 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) - 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) - 1 0.00 soc/core/_11278_ (net) - 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) - 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) - 2 0.06 soc/core/net4058 (net) - 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) - 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) - 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) - 1 0.01 soc/core/net4057 (net) - 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) - 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) - 8 0.07 soc/core/net4056 (net) - 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) - 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) - 1 0.02 soc/core/_01130_ (net) - 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) - 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) - 1 0.01 soc/core/_01131_ (net) - 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) - 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) - 8 0.09 soc/core/_01132_ (net) - 0.17 0.01 31.22 ^ soc/core/repeater817/A (sky130_fd_sc_hd__buf_12) - 0.47 0.31 31.53 ^ soc/core/repeater817/X (sky130_fd_sc_hd__buf_12) - 78 0.44 soc/core/net817 (net) - 0.67 0.26 31.79 ^ soc/core/repeater815/A (sky130_fd_sc_hd__buf_12) - 0.46 0.36 32.15 ^ soc/core/repeater815/X (sky130_fd_sc_hd__buf_12) - 76 0.44 soc/core/net815 (net) - 0.71 0.30 32.45 ^ soc/core/repeater814/A (sky130_fd_sc_hd__buf_12) - 0.44 0.36 32.80 ^ soc/core/repeater814/X (sky130_fd_sc_hd__buf_12) - 72 0.42 soc/core/net814 (net) - 0.62 0.23 33.03 ^ soc/core/_30508_/S0 (sky130_fd_sc_hd__mux4_2) - 0.14 0.71 33.75 v soc/core/_30508_/X (sky130_fd_sc_hd__mux4_2) - 2 0.03 soc/core/_01422_ (net) - 0.14 0.00 33.75 v soc/core/_30511_/A1 (sky130_fd_sc_hd__mux4_2) - 0.15 0.61 34.36 v soc/core/_30511_/X (sky130_fd_sc_hd__mux4_2) - 2 0.03 soc/core/_01425_ (net) - 0.15 0.00 34.37 v soc/core/_29078_/A0 (sky130_fd_sc_hd__mux2_8) - 0.15 0.48 34.84 v soc/core/_29078_/X (sky130_fd_sc_hd__mux2_8) - 2 0.14 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[28] (net) - 0.15 0.02 34.86 v soc/core/_31675_/D (sky130_fd_sc_hd__dfxtp_1) - 34.86 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_2_0_core_clk (net) - 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_2_1_core_clk (net) - 0.14 0.01 35.11 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.16 35.28 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_4_0_core_clk (net) - 0.05 0.00 35.28 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.12 35.39 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_4_1_core_clk (net) - 0.04 0.00 35.39 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.13 0.18 35.58 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_4_2_core_clk (net) - 0.13 0.01 35.58 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.20 35.78 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_8_0_core_clk (net) - 0.10 0.00 35.78 ^ soc/core/clkbuf_5_16_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.14 35.92 ^ soc/core/clkbuf_5_16_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_16_0_core_clk (net) - 0.04 0.00 35.92 ^ soc/core/clkbuf_5_16_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.93 0.71 36.63 ^ soc/core/clkbuf_5_16_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 38 0.32 soc/core/clknet_5_16_1_core_clk (net) - 0.93 0.01 36.64 ^ soc/core/clkbuf_leaf_350_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.10 0.31 36.95 ^ soc/core/clkbuf_leaf_350_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 24 0.06 soc/core/clknet_leaf_350_core_clk (net) - 0.10 0.00 36.95 ^ soc/core/_31675_/CLK (sky130_fd_sc_hd__dfxtp_1) - -0.25 36.70 clock uncertainty - 1.80 38.50 clock reconvergence pessimism - -0.15 38.35 library setup time - 38.35 data required time ------------------------------------------------------------------------------ - 38.35 data required time - -34.86 data arrival time ------------------------------------------------------------------------------ - 3.49 slack (MET) - - -Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 - (falling edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31655_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 12.50 12.50 clock clock (fall edge) - 0.00 12.50 clock source latency - 6.03 5.21 17.71 v clock (in) - 1 1.12 clock (net) - 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.00 clocking/clknet_0_ext_clk (net) - 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_1_0_core_clk (net) - 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_2_1_1_core_clk (net) - 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_0_core_clk (net) - 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_1_core_clk (net) - 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_2_2_core_clk (net) - 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_4_0_core_clk (net) - 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_8_0_core_clk (net) - 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.18 soc/core/clknet_5_8_1_core_clk (net) - 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) - 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) - 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.02 soc/core/clknet_leaf_21_core_clk (net) - 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) - 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) - 2 0.03 soc/core/sram_bus_dat_r[15] (net) - 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) - 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.05 soc/core/net2501 (net) - 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2500 (net) - 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) - 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2499 (net) - 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2498 (net) - 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2497 (net) - 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) - 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) - 1 0.00 soc/core/_11276_ (net) - 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) - 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) - 1 0.01 soc/core/_11277_ (net) - 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) - 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) - 1 0.00 soc/core/_11278_ (net) - 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) - 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) - 2 0.06 soc/core/net4058 (net) - 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) - 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) - 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) - 1 0.01 soc/core/net4057 (net) - 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) - 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) - 8 0.07 soc/core/net4056 (net) - 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) - 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) - 1 0.02 soc/core/_01130_ (net) - 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) - 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) - 1 0.01 soc/core/_01131_ (net) - 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) - 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) - 8 0.09 soc/core/_01132_ (net) - 0.17 0.01 31.22 ^ soc/core/repeater816/A (sky130_fd_sc_hd__buf_12) - 0.44 0.36 31.58 ^ soc/core/repeater816/X (sky130_fd_sc_hd__buf_12) - 66 0.40 soc/core/net816 (net) - 0.50 0.13 31.72 ^ soc/core/repeater813/A (sky130_fd_sc_hd__buf_12) - 0.48 0.43 32.15 ^ soc/core/repeater813/X (sky130_fd_sc_hd__buf_12) - 78 0.44 soc/core/net813 (net) - 0.56 0.16 32.30 ^ soc/core/repeater812/A (sky130_fd_sc_hd__buf_12) - 0.44 0.39 32.69 ^ soc/core/repeater812/X (sky130_fd_sc_hd__buf_12) - 70 0.40 soc/core/net812 (net) - 0.55 0.17 32.86 ^ soc/core/_30314_/S0 (sky130_fd_sc_hd__mux4_2) - 0.13 0.69 33.55 v soc/core/_30314_/X (sky130_fd_sc_hd__mux4_2) - 2 0.02 soc/core/_01228_ (net) - 0.13 0.00 33.55 v soc/core/_30316_/A2 (sky130_fd_sc_hd__mux4_2) - 0.17 0.64 34.19 v soc/core/_30316_/X (sky130_fd_sc_hd__mux4_2) - 2 0.03 soc/core/_01230_ (net) - 0.17 0.00 34.20 v soc/core/_29058_/A1 (sky130_fd_sc_hd__mux2_8) - 0.11 0.42 34.62 v soc/core/_29058_/X (sky130_fd_sc_hd__mux2_8) - 2 0.06 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[8] (net) - 0.11 0.02 34.63 v soc/core/_31655_/D (sky130_fd_sc_hd__dfxtp_1) - 34.63 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_2_0_core_clk (net) - 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_2_1_core_clk (net) - 0.14 0.01 35.11 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.16 35.28 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_5_0_core_clk (net) - 0.05 0.00 35.28 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.12 35.40 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_5_1_core_clk (net) - 0.04 0.00 35.40 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.11 0.17 35.57 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_3_5_2_core_clk (net) - 0.11 0.00 35.58 ^ soc/core/clkbuf_4_11_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.19 35.77 ^ soc/core/clkbuf_4_11_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_11_0_core_clk (net) - 0.10 0.00 35.77 ^ soc/core/clkbuf_5_22_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.14 35.91 ^ soc/core/clkbuf_5_22_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_22_0_core_clk (net) - 0.04 0.00 35.91 ^ soc/core/clkbuf_5_22_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.70 0.54 36.45 ^ soc/core/clkbuf_5_22_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.24 soc/core/clknet_5_22_1_core_clk (net) - 0.71 0.04 36.50 ^ soc/core/clkbuf_leaf_286_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.08 0.28 36.78 ^ soc/core/clkbuf_leaf_286_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 16 0.05 soc/core/clknet_leaf_286_core_clk (net) - 0.08 0.00 36.78 ^ soc/core/_31655_/CLK (sky130_fd_sc_hd__dfxtp_1) - -0.25 36.53 clock uncertainty - 1.80 38.33 clock reconvergence pessimism - -0.13 38.20 library setup time - 38.20 data required time ------------------------------------------------------------------------------ - 38.20 data required time - -34.63 data arrival time ------------------------------------------------------------------------------ - 3.56 slack (MET) - - -Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 - (falling edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31673_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 12.50 12.50 clock clock (fall edge) - 0.00 12.50 clock source latency - 6.03 5.21 17.71 v clock (in) - 1 1.12 clock (net) - 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.00 clocking/clknet_0_ext_clk (net) - 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_1_0_core_clk (net) - 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_2_1_1_core_clk (net) - 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_0_core_clk (net) - 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_1_core_clk (net) - 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_2_2_core_clk (net) - 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_4_0_core_clk (net) - 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_8_0_core_clk (net) - 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.18 soc/core/clknet_5_8_1_core_clk (net) - 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) - 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) - 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.02 soc/core/clknet_leaf_21_core_clk (net) - 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) - 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) - 2 0.03 soc/core/sram_bus_dat_r[15] (net) - 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) - 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.05 soc/core/net2501 (net) - 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2500 (net) - 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) - 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2499 (net) - 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2498 (net) - 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2497 (net) - 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) - 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) - 1 0.00 soc/core/_11276_ (net) - 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) - 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) - 1 0.01 soc/core/_11277_ (net) - 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) - 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) - 1 0.00 soc/core/_11278_ (net) - 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) - 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) - 2 0.06 soc/core/net4058 (net) - 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) - 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) - 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) - 1 0.01 soc/core/net4057 (net) - 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) - 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) - 8 0.07 soc/core/net4056 (net) - 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) - 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) - 1 0.02 soc/core/_01130_ (net) - 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) - 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) - 1 0.01 soc/core/_01131_ (net) - 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) - 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) - 8 0.09 soc/core/_01132_ (net) - 0.17 0.01 31.22 ^ soc/core/repeater817/A (sky130_fd_sc_hd__buf_12) - 0.47 0.31 31.53 ^ soc/core/repeater817/X (sky130_fd_sc_hd__buf_12) - 78 0.44 soc/core/net817 (net) - 0.67 0.26 31.79 ^ soc/core/repeater815/A (sky130_fd_sc_hd__buf_12) - 0.46 0.36 32.15 ^ soc/core/repeater815/X (sky130_fd_sc_hd__buf_12) - 76 0.44 soc/core/net815 (net) - 0.71 0.30 32.45 ^ soc/core/repeater814/A (sky130_fd_sc_hd__buf_12) - 0.44 0.36 32.80 ^ soc/core/repeater814/X (sky130_fd_sc_hd__buf_12) - 72 0.42 soc/core/net814 (net) - 0.50 0.12 32.92 ^ soc/core/_30489_/S0 (sky130_fd_sc_hd__mux4_2) - 0.13 0.68 33.60 v soc/core/_30489_/X (sky130_fd_sc_hd__mux4_2) - 2 0.02 soc/core/_01403_ (net) - 0.13 0.00 33.61 v soc/core/_30491_/A2 (sky130_fd_sc_hd__mux4_2) - 0.12 0.57 34.17 v soc/core/_30491_/X (sky130_fd_sc_hd__mux4_2) - 1 0.01 soc/core/_01405_ (net) - 0.12 0.00 34.18 v soc/core/_29076_/A0 (sky130_fd_sc_hd__mux2_8) - 0.13 0.43 34.61 v soc/core/_29076_/X (sky130_fd_sc_hd__mux2_8) - 2 0.10 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[26] (net) - 0.13 0.02 34.63 v soc/core/_31673_/D (sky130_fd_sc_hd__dfxtp_1) - 34.63 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_2_0_core_clk (net) - 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_2_1_core_clk (net) - 0.14 0.01 35.11 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.16 35.28 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_4_0_core_clk (net) - 0.05 0.00 35.28 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.12 35.39 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_4_1_core_clk (net) - 0.04 0.00 35.39 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.13 0.18 35.58 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_4_2_core_clk (net) - 0.13 0.01 35.58 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.20 35.78 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_8_0_core_clk (net) - 0.10 0.00 35.78 ^ soc/core/clkbuf_5_16_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.14 35.92 ^ soc/core/clkbuf_5_16_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_16_0_core_clk (net) - 0.04 0.00 35.92 ^ soc/core/clkbuf_5_16_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.93 0.71 36.63 ^ soc/core/clkbuf_5_16_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 38 0.32 soc/core/clknet_5_16_1_core_clk (net) - 0.94 0.03 36.66 ^ soc/core/clkbuf_leaf_346_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.10 0.31 36.97 ^ soc/core/clkbuf_leaf_346_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 22 0.06 soc/core/clknet_leaf_346_core_clk (net) - 0.10 0.00 36.97 ^ soc/core/_31673_/CLK (sky130_fd_sc_hd__dfxtp_1) - -0.25 36.72 clock uncertainty - 1.80 38.51 clock reconvergence pessimism - -0.14 38.38 library setup time - 38.38 data required time ------------------------------------------------------------------------------ - 38.38 data required time - -34.63 data arrival time ------------------------------------------------------------------------------ - 3.75 slack (MET) - - -Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 - (falling edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31667_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 12.50 12.50 clock clock (fall edge) - 0.00 12.50 clock source latency - 6.03 5.21 17.71 v clock (in) - 1 1.12 clock (net) - 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.00 clocking/clknet_0_ext_clk (net) - 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_1_0_core_clk (net) - 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_2_1_1_core_clk (net) - 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_0_core_clk (net) - 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_1_core_clk (net) - 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_2_2_core_clk (net) - 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_4_0_core_clk (net) - 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_8_0_core_clk (net) - 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.18 soc/core/clknet_5_8_1_core_clk (net) - 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) - 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) - 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.02 soc/core/clknet_leaf_21_core_clk (net) - 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) - 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) - 2 0.03 soc/core/sram_bus_dat_r[15] (net) - 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) - 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.05 soc/core/net2501 (net) - 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2500 (net) - 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) - 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2499 (net) - 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2498 (net) - 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2497 (net) - 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) - 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) - 1 0.00 soc/core/_11276_ (net) - 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) - 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) - 1 0.01 soc/core/_11277_ (net) - 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) - 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) - 1 0.00 soc/core/_11278_ (net) - 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) - 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) - 2 0.06 soc/core/net4058 (net) - 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) - 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) - 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) - 1 0.01 soc/core/net4057 (net) - 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) - 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) - 8 0.07 soc/core/net4056 (net) - 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) - 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) - 1 0.02 soc/core/_01130_ (net) - 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) - 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) - 1 0.01 soc/core/_01131_ (net) - 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) - 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) - 8 0.09 soc/core/_01132_ (net) - 0.17 0.01 31.22 ^ soc/core/repeater816/A (sky130_fd_sc_hd__buf_12) - 0.44 0.36 31.58 ^ soc/core/repeater816/X (sky130_fd_sc_hd__buf_12) - 66 0.40 soc/core/net816 (net) - 0.50 0.13 31.72 ^ soc/core/repeater813/A (sky130_fd_sc_hd__buf_12) - 0.48 0.43 32.15 ^ soc/core/repeater813/X (sky130_fd_sc_hd__buf_12) - 78 0.44 soc/core/net813 (net) - 0.56 0.16 32.30 ^ soc/core/repeater812/A (sky130_fd_sc_hd__buf_12) - 0.44 0.39 32.69 ^ soc/core/repeater812/X (sky130_fd_sc_hd__buf_12) - 70 0.40 soc/core/net812 (net) - 0.48 0.11 32.80 ^ soc/core/_30435_/S0 (sky130_fd_sc_hd__mux4_2) - 0.15 0.70 33.50 v soc/core/_30435_/X (sky130_fd_sc_hd__mux4_2) - 2 0.03 soc/core/_01349_ (net) - 0.15 0.00 33.50 v soc/core/_30436_/A3 (sky130_fd_sc_hd__mux4_1) - 0.10 0.55 34.06 v soc/core/_30436_/X (sky130_fd_sc_hd__mux4_1) - 1 0.00 soc/core/_01350_ (net) - 0.10 0.00 34.06 v soc/core/_29070_/A1 (sky130_fd_sc_hd__mux2_1) - 0.10 0.38 34.43 v soc/core/_29070_/X (sky130_fd_sc_hd__mux2_1) - 1 0.01 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[20] (net) - 0.10 0.00 34.43 v soc/core/_31667_/D (sky130_fd_sc_hd__dfxtp_1) - 34.43 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_2_0_core_clk (net) - 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_2_1_core_clk (net) - 0.14 0.01 35.11 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.16 35.28 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_4_0_core_clk (net) - 0.05 0.00 35.28 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.12 35.39 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_4_1_core_clk (net) - 0.04 0.00 35.39 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.13 0.18 35.58 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_4_2_core_clk (net) - 0.13 0.01 35.58 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.20 35.78 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_8_0_core_clk (net) - 0.10 0.00 35.78 ^ soc/core/clkbuf_5_17_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.14 35.92 ^ soc/core/clkbuf_5_17_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_17_0_core_clk (net) - 0.04 0.00 35.92 ^ soc/core/clkbuf_5_17_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.77 0.60 36.52 ^ soc/core/clkbuf_5_17_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 32 0.26 soc/core/clknet_5_17_1_core_clk (net) - 0.77 0.02 36.54 ^ soc/core/clkbuf_leaf_322_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.09 0.30 36.84 ^ soc/core/clkbuf_leaf_322_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 26 0.06 soc/core/clknet_leaf_322_core_clk (net) - 0.09 0.00 36.84 ^ soc/core/_31667_/CLK (sky130_fd_sc_hd__dfxtp_1) - -0.25 36.59 clock uncertainty - 1.80 38.39 clock reconvergence pessimism - -0.12 38.27 library setup time - 38.27 data required time ------------------------------------------------------------------------------ - 38.27 data required time - -34.43 data arrival time ------------------------------------------------------------------------------ - 3.83 slack (MET) - - -Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 - (falling edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31287_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 12.50 12.50 clock clock (fall edge) - 0.00 12.50 clock source latency - 6.03 5.21 17.71 v clock (in) - 1 1.12 clock (net) - 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.00 clocking/clknet_0_ext_clk (net) - 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_1_0_core_clk (net) - 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_2_1_1_core_clk (net) - 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_0_core_clk (net) - 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_1_core_clk (net) - 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_2_2_core_clk (net) - 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_4_0_core_clk (net) - 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_8_0_core_clk (net) - 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.18 soc/core/clknet_5_8_1_core_clk (net) - 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) - 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) - 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.02 soc/core/clknet_leaf_21_core_clk (net) - 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) - 0.00 0.43 26.69 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[20] (sky130_sram_2kbyte_1rw1r_32x512_8) - 1 0.01 soc/core/sram_bus_dat_r[20] (net) - 0.00 0.00 26.69 v soc/core/_22934_/B (sky130_fd_sc_hd__and2_4) - 0.36 0.38 27.07 v soc/core/_22934_/X (sky130_fd_sc_hd__and2_4) - 2 0.29 soc/core/_11247_ (net) - 0.37 0.06 27.13 v soc/core/_22935_/C1 (sky130_fd_sc_hd__a221o_4) - 0.24 0.68 27.81 v soc/core/_22935_/X (sky130_fd_sc_hd__a221o_4) - 2 0.15 soc/core/_11248_ (net) - 0.24 0.02 27.83 v soc/core/_22936_/C1 (sky130_fd_sc_hd__a221o_1) - 0.09 0.39 28.21 v soc/core/_22936_/X (sky130_fd_sc_hd__a221o_1) - 1 0.01 soc/core/_11249_ (net) - 0.09 0.00 28.21 v soc/core/_22937_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.11 0.53 28.74 v soc/core/_22937_/X (sky130_fd_sc_hd__a2111o_4) - 4 0.03 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[20] (net) - 0.11 0.00 28.74 v soc/core/hold1142/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.13 0.69 29.43 v soc/core/hold1142/X (sky130_fd_sc_hd__dlygate4sd3_1) - 2 0.02 soc/core/net4009 (net) - 0.13 0.00 29.43 v soc/core/hold1143/A (sky130_fd_sc_hd__buf_4) - 0.10 0.24 29.68 v soc/core/hold1143/X (sky130_fd_sc_hd__buf_4) - 6 0.07 soc/core/net4008 (net) - 0.10 0.01 29.69 v soc/core/_29697_/A0 (sky130_fd_sc_hd__mux2_1) - 0.07 0.33 30.02 v soc/core/_29697_/X (sky130_fd_sc_hd__mux2_1) - 1 0.01 soc/core/_00742_ (net) - 0.07 0.00 30.02 v soc/core/_27064_/A (sky130_fd_sc_hd__inv_2) - 0.04 0.07 30.09 ^ soc/core/_27064_/Y (sky130_fd_sc_hd__inv_2) - 1 0.01 soc/core/_00743_ (net) - 0.04 0.00 30.09 ^ soc/core/_29696_/A0 (sky130_fd_sc_hd__mux2_8) - 0.18 0.27 30.36 ^ soc/core/_29696_/X (sky130_fd_sc_hd__mux2_8) - 8 0.10 soc/core/_00744_ (net) - 0.19 0.02 30.38 ^ soc/core/repeater795/A (sky130_fd_sc_hd__buf_12) - 0.46 0.36 30.74 ^ soc/core/repeater795/X (sky130_fd_sc_hd__buf_12) - 70 0.42 soc/core/net795 (net) - 0.60 0.21 30.95 ^ soc/core/repeater794/A (sky130_fd_sc_hd__buf_12) - 0.51 0.51 31.46 ^ soc/core/repeater794/X (sky130_fd_sc_hd__buf_12) - 78 0.45 soc/core/net794 (net) - 0.52 0.06 31.52 ^ soc/core/repeater793/A (sky130_fd_sc_hd__buf_12) - 0.50 0.43 31.95 ^ soc/core/repeater793/X (sky130_fd_sc_hd__buf_12) - 78 0.45 soc/core/net793 (net) - 0.51 0.08 32.03 ^ soc/core/repeater792/A (sky130_fd_sc_hd__buf_12) - 0.40 0.37 32.40 ^ soc/core/repeater792/X (sky130_fd_sc_hd__buf_12) - 68 0.36 soc/core/net792 (net) - 0.49 0.16 32.55 ^ soc/core/_30726_/S0 (sky130_fd_sc_hd__mux4_1) - 0.12 0.71 33.26 v soc/core/_30726_/X (sky130_fd_sc_hd__mux4_1) - 1 0.01 soc/core/_00901_ (net) - 0.12 0.00 33.26 v soc/core/_30727_/A3 (sky130_fd_sc_hd__mux4_2) - 0.15 0.63 33.89 v soc/core/_30727_/X (sky130_fd_sc_hd__mux4_2) - 2 0.03 soc/core/_00902_ (net) - 0.15 0.00 33.89 v soc/core/_29377_/A1 (sky130_fd_sc_hd__mux2_8) - 0.12 0.42 34.31 v soc/core/_29377_/X (sky130_fd_sc_hd__mux2_8) - 2 0.07 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[0]$d[14] (net) - 0.13 0.02 34.33 v soc/core/_31287_/D (sky130_fd_sc_hd__dfxtp_2) - 34.33 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_2_0_core_clk (net) - 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_2_1_core_clk (net) - 0.14 0.01 35.11 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.16 35.28 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_4_0_core_clk (net) - 0.05 0.00 35.28 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.12 35.39 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_4_1_core_clk (net) - 0.04 0.00 35.39 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.13 0.18 35.58 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_4_2_core_clk (net) - 0.13 0.01 35.58 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.20 35.78 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_8_0_core_clk (net) - 0.10 0.00 35.78 ^ soc/core/clkbuf_5_17_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.14 35.92 ^ soc/core/clkbuf_5_17_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_17_0_core_clk (net) - 0.04 0.00 35.92 ^ soc/core/clkbuf_5_17_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.77 0.60 36.52 ^ soc/core/clkbuf_5_17_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 32 0.26 soc/core/clknet_5_17_1_core_clk (net) - 0.77 0.02 36.54 ^ soc/core/clkbuf_leaf_328_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.07 0.27 36.81 ^ soc/core/clkbuf_leaf_328_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 6 0.03 soc/core/clknet_leaf_328_core_clk (net) - 0.07 0.00 36.81 ^ soc/core/_31287_/CLK (sky130_fd_sc_hd__dfxtp_2) - -0.25 36.56 clock uncertainty - 1.80 38.36 clock reconvergence pessimism - -0.14 38.22 library setup time - 38.22 data required time ------------------------------------------------------------------------------ - 38.22 data required time - -34.33 data arrival time ------------------------------------------------------------------------------ - 3.89 slack (MET) - - -Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 - (falling edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31285_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 12.50 12.50 clock clock (fall edge) - 0.00 12.50 clock source latency - 6.03 5.21 17.71 v clock (in) - 1 1.12 clock (net) - 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.00 clocking/clknet_0_ext_clk (net) - 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_1_0_core_clk (net) - 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_2_1_1_core_clk (net) - 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_0_core_clk (net) - 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_1_core_clk (net) - 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_2_2_core_clk (net) - 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_4_0_core_clk (net) - 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_8_0_core_clk (net) - 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.18 soc/core/clknet_5_8_1_core_clk (net) - 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) - 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) - 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.02 soc/core/clknet_leaf_21_core_clk (net) - 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) - 0.00 0.43 26.69 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[20] (sky130_sram_2kbyte_1rw1r_32x512_8) - 1 0.01 soc/core/sram_bus_dat_r[20] (net) - 0.00 0.00 26.69 v soc/core/_22934_/B (sky130_fd_sc_hd__and2_4) - 0.36 0.38 27.07 v soc/core/_22934_/X (sky130_fd_sc_hd__and2_4) - 2 0.29 soc/core/_11247_ (net) - 0.37 0.06 27.13 v soc/core/_22935_/C1 (sky130_fd_sc_hd__a221o_4) - 0.24 0.68 27.81 v soc/core/_22935_/X (sky130_fd_sc_hd__a221o_4) - 2 0.15 soc/core/_11248_ (net) - 0.24 0.02 27.83 v soc/core/_22936_/C1 (sky130_fd_sc_hd__a221o_1) - 0.09 0.39 28.21 v soc/core/_22936_/X (sky130_fd_sc_hd__a221o_1) - 1 0.01 soc/core/_11249_ (net) - 0.09 0.00 28.21 v soc/core/_22937_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.11 0.53 28.74 v soc/core/_22937_/X (sky130_fd_sc_hd__a2111o_4) - 4 0.03 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[20] (net) - 0.11 0.00 28.74 v soc/core/hold1142/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.13 0.69 29.43 v soc/core/hold1142/X (sky130_fd_sc_hd__dlygate4sd3_1) - 2 0.02 soc/core/net4009 (net) - 0.13 0.00 29.43 v soc/core/hold1143/A (sky130_fd_sc_hd__buf_4) - 0.10 0.24 29.68 v soc/core/hold1143/X (sky130_fd_sc_hd__buf_4) - 6 0.07 soc/core/net4008 (net) - 0.10 0.01 29.69 v soc/core/_29697_/A0 (sky130_fd_sc_hd__mux2_1) - 0.07 0.33 30.02 v soc/core/_29697_/X (sky130_fd_sc_hd__mux2_1) - 1 0.01 soc/core/_00742_ (net) - 0.07 0.00 30.02 v soc/core/_27064_/A (sky130_fd_sc_hd__inv_2) - 0.04 0.07 30.09 ^ soc/core/_27064_/Y (sky130_fd_sc_hd__inv_2) - 1 0.01 soc/core/_00743_ (net) - 0.04 0.00 30.09 ^ soc/core/_29696_/A0 (sky130_fd_sc_hd__mux2_8) - 0.18 0.27 30.36 ^ soc/core/_29696_/X (sky130_fd_sc_hd__mux2_8) - 8 0.10 soc/core/_00744_ (net) - 0.19 0.02 30.38 ^ soc/core/repeater795/A (sky130_fd_sc_hd__buf_12) - 0.46 0.36 30.74 ^ soc/core/repeater795/X (sky130_fd_sc_hd__buf_12) - 70 0.42 soc/core/net795 (net) - 0.60 0.21 30.95 ^ soc/core/repeater794/A (sky130_fd_sc_hd__buf_12) - 0.51 0.51 31.46 ^ soc/core/repeater794/X (sky130_fd_sc_hd__buf_12) - 78 0.45 soc/core/net794 (net) - 0.52 0.06 31.52 ^ soc/core/repeater793/A (sky130_fd_sc_hd__buf_12) - 0.50 0.43 31.95 ^ soc/core/repeater793/X (sky130_fd_sc_hd__buf_12) - 78 0.45 soc/core/net793 (net) - 0.51 0.08 32.03 ^ soc/core/repeater792/A (sky130_fd_sc_hd__buf_12) - 0.40 0.37 32.40 ^ soc/core/repeater792/X (sky130_fd_sc_hd__buf_12) - 68 0.36 soc/core/net792 (net) - 0.49 0.15 32.55 ^ soc/core/_30706_/S0 (sky130_fd_sc_hd__mux4_1) - 0.11 0.69 33.24 v soc/core/_30706_/X (sky130_fd_sc_hd__mux4_1) - 1 0.01 soc/core/_00881_ (net) - 0.11 0.00 33.24 v soc/core/_30707_/A3 (sky130_fd_sc_hd__mux4_2) - 0.19 0.68 33.92 v soc/core/_30707_/X (sky130_fd_sc_hd__mux4_2) - 2 0.05 soc/core/_00882_ (net) - 0.19 0.01 33.92 v soc/core/_29375_/A1 (sky130_fd_sc_hd__mux2_4) - 0.12 0.42 34.34 v soc/core/_29375_/X (sky130_fd_sc_hd__mux2_4) - 2 0.05 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[0]$d[12] (net) - 0.13 0.01 34.35 v soc/core/_31285_/D (sky130_fd_sc_hd__dfxtp_2) - 34.35 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_2_0_core_clk (net) - 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_2_1_core_clk (net) - 0.14 0.01 35.11 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.16 35.28 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_5_0_core_clk (net) - 0.05 0.00 35.28 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.12 35.40 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_5_1_core_clk (net) - 0.04 0.00 35.40 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.11 0.17 35.57 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_3_5_2_core_clk (net) - 0.11 0.00 35.58 ^ soc/core/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.19 35.77 ^ soc/core/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_10_0_core_clk (net) - 0.10 0.00 35.77 ^ soc/core/clkbuf_5_20_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.14 35.91 ^ soc/core/clkbuf_5_20_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_20_0_core_clk (net) - 0.04 0.00 35.91 ^ soc/core/clkbuf_5_20_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.75 0.59 36.50 ^ soc/core/clkbuf_5_20_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 34 0.26 soc/core/clknet_5_20_1_core_clk (net) - 0.76 0.02 36.52 ^ soc/core/clkbuf_leaf_321_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.10 0.31 36.83 ^ soc/core/clkbuf_leaf_321_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 26 0.08 soc/core/clknet_leaf_321_core_clk (net) - 0.10 0.00 36.83 ^ soc/core/_31285_/CLK (sky130_fd_sc_hd__dfxtp_2) - -0.25 36.58 clock uncertainty - 1.80 38.38 clock reconvergence pessimism - -0.13 38.24 library setup time - 38.24 data required time ------------------------------------------------------------------------------ - 38.24 data required time - -34.35 data arrival time ------------------------------------------------------------------------------ - 3.89 slack (MET) - - -Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 - (falling edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31282_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 12.50 12.50 clock clock (fall edge) - 0.00 12.50 clock source latency - 6.03 5.21 17.71 v clock (in) - 1 1.12 clock (net) - 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.00 clocking/clknet_0_ext_clk (net) - 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_1_0_core_clk (net) - 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_2_1_1_core_clk (net) - 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_0_core_clk (net) - 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_1_core_clk (net) - 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_2_2_core_clk (net) - 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_4_0_core_clk (net) - 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_8_0_core_clk (net) - 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.18 soc/core/clknet_5_8_1_core_clk (net) - 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) - 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) - 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.02 soc/core/clknet_leaf_21_core_clk (net) - 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) - 0.00 0.43 26.69 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[20] (sky130_sram_2kbyte_1rw1r_32x512_8) - 1 0.01 soc/core/sram_bus_dat_r[20] (net) - 0.00 0.00 26.69 v soc/core/_22934_/B (sky130_fd_sc_hd__and2_4) - 0.36 0.38 27.07 v soc/core/_22934_/X (sky130_fd_sc_hd__and2_4) - 2 0.29 soc/core/_11247_ (net) - 0.37 0.06 27.13 v soc/core/_22935_/C1 (sky130_fd_sc_hd__a221o_4) - 0.24 0.68 27.81 v soc/core/_22935_/X (sky130_fd_sc_hd__a221o_4) - 2 0.15 soc/core/_11248_ (net) - 0.24 0.02 27.83 v soc/core/_22936_/C1 (sky130_fd_sc_hd__a221o_1) - 0.09 0.39 28.21 v soc/core/_22936_/X (sky130_fd_sc_hd__a221o_1) - 1 0.01 soc/core/_11249_ (net) - 0.09 0.00 28.21 v soc/core/_22937_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.11 0.53 28.74 v soc/core/_22937_/X (sky130_fd_sc_hd__a2111o_4) - 4 0.03 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[20] (net) - 0.11 0.00 28.74 v soc/core/hold1142/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.13 0.69 29.43 v soc/core/hold1142/X (sky130_fd_sc_hd__dlygate4sd3_1) - 2 0.02 soc/core/net4009 (net) - 0.13 0.00 29.43 v soc/core/hold1143/A (sky130_fd_sc_hd__buf_4) - 0.10 0.24 29.68 v soc/core/hold1143/X (sky130_fd_sc_hd__buf_4) - 6 0.07 soc/core/net4008 (net) - 0.10 0.01 29.69 v soc/core/_29697_/A0 (sky130_fd_sc_hd__mux2_1) - 0.07 0.33 30.02 v soc/core/_29697_/X (sky130_fd_sc_hd__mux2_1) - 1 0.01 soc/core/_00742_ (net) - 0.07 0.00 30.02 v soc/core/_27064_/A (sky130_fd_sc_hd__inv_2) - 0.04 0.07 30.09 ^ soc/core/_27064_/Y (sky130_fd_sc_hd__inv_2) - 1 0.01 soc/core/_00743_ (net) - 0.04 0.00 30.09 ^ soc/core/_29696_/A0 (sky130_fd_sc_hd__mux2_8) - 0.18 0.27 30.36 ^ soc/core/_29696_/X (sky130_fd_sc_hd__mux2_8) - 8 0.10 soc/core/_00744_ (net) - 0.19 0.02 30.38 ^ soc/core/repeater795/A (sky130_fd_sc_hd__buf_12) - 0.46 0.36 30.74 ^ soc/core/repeater795/X (sky130_fd_sc_hd__buf_12) - 70 0.42 soc/core/net795 (net) - 0.60 0.21 30.95 ^ soc/core/repeater794/A (sky130_fd_sc_hd__buf_12) - 0.51 0.51 31.46 ^ soc/core/repeater794/X (sky130_fd_sc_hd__buf_12) - 78 0.45 soc/core/net794 (net) - 0.52 0.06 31.52 ^ soc/core/repeater793/A (sky130_fd_sc_hd__buf_12) - 0.50 0.43 31.95 ^ soc/core/repeater793/X (sky130_fd_sc_hd__buf_12) - 78 0.45 soc/core/net793 (net) - 0.51 0.08 32.03 ^ soc/core/repeater792/A (sky130_fd_sc_hd__buf_12) - 0.40 0.37 32.40 ^ soc/core/repeater792/X (sky130_fd_sc_hd__buf_12) - 68 0.36 soc/core/net792 (net) - 0.48 0.15 32.55 ^ soc/core/_30673_/S0 (sky130_fd_sc_hd__mux4_1) - 0.12 0.70 33.24 v soc/core/_30673_/X (sky130_fd_sc_hd__mux4_1) - 1 0.01 soc/core/_00848_ (net) - 0.12 0.00 33.24 v soc/core/_30677_/A0 (sky130_fd_sc_hd__mux4_2) - 0.18 0.65 33.90 v soc/core/_30677_/X (sky130_fd_sc_hd__mux4_2) - 2 0.04 soc/core/_00852_ (net) - 0.18 0.00 33.90 v soc/core/_29372_/A1 (sky130_fd_sc_hd__mux2_1) - 0.10 0.41 34.31 v soc/core/_29372_/X (sky130_fd_sc_hd__mux2_1) - 1 0.01 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[0]$d[9] (net) - 0.10 0.00 34.31 v soc/core/_31282_/D (sky130_fd_sc_hd__dfxtp_4) - 34.31 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_2_0_core_clk (net) - 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_2_1_core_clk (net) - 0.14 0.01 35.11 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.16 35.28 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_5_0_core_clk (net) - 0.05 0.00 35.28 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.12 35.40 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_5_1_core_clk (net) - 0.04 0.00 35.40 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.11 0.17 35.57 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_3_5_2_core_clk (net) - 0.11 0.00 35.58 ^ soc/core/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.19 35.77 ^ soc/core/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_10_0_core_clk (net) - 0.10 0.00 35.77 ^ soc/core/clkbuf_5_20_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.14 35.91 ^ soc/core/clkbuf_5_20_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_20_0_core_clk (net) - 0.04 0.00 35.91 ^ soc/core/clkbuf_5_20_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.75 0.59 36.50 ^ soc/core/clkbuf_5_20_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 34 0.26 soc/core/clknet_5_20_1_core_clk (net) - 0.75 0.01 36.51 ^ soc/core/clkbuf_leaf_319_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.10 0.30 36.82 ^ soc/core/clkbuf_leaf_319_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 26 0.07 soc/core/clknet_leaf_319_core_clk (net) - 0.10 0.00 36.82 ^ soc/core/_31282_/CLK (sky130_fd_sc_hd__dfxtp_4) - -0.25 36.57 clock uncertainty - 1.80 38.36 clock reconvergence pessimism - -0.12 38.24 library setup time - 38.24 data required time ------------------------------------------------------------------------------ - 38.24 data required time - -34.31 data arrival time ------------------------------------------------------------------------------ - 3.93 slack (MET) - - -Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 - (falling edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31281_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 12.50 12.50 clock clock (fall edge) - 0.00 12.50 clock source latency - 6.03 5.21 17.71 v clock (in) - 1 1.12 clock (net) - 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.00 clocking/clknet_0_ext_clk (net) - 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_1_0_core_clk (net) - 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_2_1_1_core_clk (net) - 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_0_core_clk (net) - 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_1_core_clk (net) - 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_2_2_core_clk (net) - 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_4_0_core_clk (net) - 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_8_0_core_clk (net) - 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.18 soc/core/clknet_5_8_1_core_clk (net) - 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) - 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) - 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.02 soc/core/clknet_leaf_21_core_clk (net) - 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) - 0.00 0.43 26.69 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[20] (sky130_sram_2kbyte_1rw1r_32x512_8) - 1 0.01 soc/core/sram_bus_dat_r[20] (net) - 0.00 0.00 26.69 v soc/core/_22934_/B (sky130_fd_sc_hd__and2_4) - 0.36 0.38 27.07 v soc/core/_22934_/X (sky130_fd_sc_hd__and2_4) - 2 0.29 soc/core/_11247_ (net) - 0.37 0.06 27.13 v soc/core/_22935_/C1 (sky130_fd_sc_hd__a221o_4) - 0.24 0.68 27.81 v soc/core/_22935_/X (sky130_fd_sc_hd__a221o_4) - 2 0.15 soc/core/_11248_ (net) - 0.24 0.02 27.83 v soc/core/_22936_/C1 (sky130_fd_sc_hd__a221o_1) - 0.09 0.39 28.21 v soc/core/_22936_/X (sky130_fd_sc_hd__a221o_1) - 1 0.01 soc/core/_11249_ (net) - 0.09 0.00 28.21 v soc/core/_22937_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.11 0.53 28.74 v soc/core/_22937_/X (sky130_fd_sc_hd__a2111o_4) - 4 0.03 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[20] (net) - 0.11 0.00 28.74 v soc/core/hold1142/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.13 0.69 29.43 v soc/core/hold1142/X (sky130_fd_sc_hd__dlygate4sd3_1) - 2 0.02 soc/core/net4009 (net) - 0.13 0.00 29.43 v soc/core/hold1143/A (sky130_fd_sc_hd__buf_4) - 0.10 0.24 29.68 v soc/core/hold1143/X (sky130_fd_sc_hd__buf_4) - 6 0.07 soc/core/net4008 (net) - 0.10 0.01 29.69 v soc/core/_29697_/A0 (sky130_fd_sc_hd__mux2_1) - 0.07 0.33 30.02 v soc/core/_29697_/X (sky130_fd_sc_hd__mux2_1) - 1 0.01 soc/core/_00742_ (net) - 0.07 0.00 30.02 v soc/core/_27064_/A (sky130_fd_sc_hd__inv_2) - 0.04 0.07 30.09 ^ soc/core/_27064_/Y (sky130_fd_sc_hd__inv_2) - 1 0.01 soc/core/_00743_ (net) - 0.04 0.00 30.09 ^ soc/core/_29696_/A0 (sky130_fd_sc_hd__mux2_8) - 0.18 0.27 30.36 ^ soc/core/_29696_/X (sky130_fd_sc_hd__mux2_8) - 8 0.10 soc/core/_00744_ (net) - 0.19 0.02 30.38 ^ soc/core/repeater795/A (sky130_fd_sc_hd__buf_12) - 0.46 0.36 30.74 ^ soc/core/repeater795/X (sky130_fd_sc_hd__buf_12) - 70 0.42 soc/core/net795 (net) - 0.60 0.21 30.95 ^ soc/core/repeater794/A (sky130_fd_sc_hd__buf_12) - 0.51 0.51 31.46 ^ soc/core/repeater794/X (sky130_fd_sc_hd__buf_12) - 78 0.45 soc/core/net794 (net) - 0.52 0.06 31.52 ^ soc/core/repeater793/A (sky130_fd_sc_hd__buf_12) - 0.50 0.43 31.95 ^ soc/core/repeater793/X (sky130_fd_sc_hd__buf_12) - 78 0.45 soc/core/net793 (net) - 0.51 0.08 32.03 ^ soc/core/repeater792/A (sky130_fd_sc_hd__buf_12) - 0.40 0.37 32.40 ^ soc/core/repeater792/X (sky130_fd_sc_hd__buf_12) - 68 0.36 soc/core/net792 (net) - 0.48 0.14 32.54 ^ soc/core/_30664_/S0 (sky130_fd_sc_hd__mux4_2) - 0.15 0.70 33.24 v soc/core/_30664_/X (sky130_fd_sc_hd__mux4_2) - 2 0.03 soc/core/_00839_ (net) - 0.15 0.01 33.25 v soc/core/_30667_/A1 (sky130_fd_sc_hd__mux4_2) - 0.14 0.60 33.85 v soc/core/_30667_/X (sky130_fd_sc_hd__mux4_2) - 2 0.02 soc/core/_00842_ (net) - 0.14 0.00 33.85 v soc/core/_29371_/A1 (sky130_fd_sc_hd__mux2_4) - 0.13 0.39 34.24 v soc/core/_29371_/X (sky130_fd_sc_hd__mux2_4) - 2 0.05 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[0]$d[8] (net) - 0.13 0.01 34.25 v soc/core/_31281_/D (sky130_fd_sc_hd__dfxtp_4) - 34.25 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_2_0_core_clk (net) - 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_2_1_core_clk (net) - 0.14 0.01 35.11 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.16 35.28 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_5_0_core_clk (net) - 0.05 0.00 35.28 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.12 35.40 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_5_1_core_clk (net) - 0.04 0.00 35.40 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.11 0.17 35.57 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_3_5_2_core_clk (net) - 0.11 0.00 35.58 ^ soc/core/clkbuf_4_11_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.19 35.77 ^ soc/core/clkbuf_4_11_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_11_0_core_clk (net) - 0.10 0.00 35.77 ^ soc/core/clkbuf_5_22_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.14 35.91 ^ soc/core/clkbuf_5_22_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_22_0_core_clk (net) - 0.04 0.00 35.91 ^ soc/core/clkbuf_5_22_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.70 0.54 36.45 ^ soc/core/clkbuf_5_22_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.24 soc/core/clknet_5_22_1_core_clk (net) - 0.71 0.04 36.50 ^ soc/core/clkbuf_leaf_286_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.08 0.28 36.78 ^ soc/core/clkbuf_leaf_286_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 16 0.05 soc/core/clknet_leaf_286_core_clk (net) - 0.08 0.00 36.78 ^ soc/core/_31281_/CLK (sky130_fd_sc_hd__dfxtp_4) - -0.25 36.53 clock uncertainty - 1.80 38.33 clock reconvergence pessimism - -0.14 38.19 library setup time - 38.19 data required time ------------------------------------------------------------------------------ - 38.19 data required time - -34.25 data arrival time ------------------------------------------------------------------------------ - 3.94 slack (MET) - - -Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 - (falling edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31648_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 12.50 12.50 clock clock (fall edge) - 0.00 12.50 clock source latency - 6.03 5.21 17.71 v clock (in) - 1 1.12 clock (net) - 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.00 clocking/clknet_0_ext_clk (net) - 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_1_0_core_clk (net) - 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_2_1_1_core_clk (net) - 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_0_core_clk (net) - 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_1_core_clk (net) - 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_2_2_core_clk (net) - 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_4_0_core_clk (net) - 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_8_0_core_clk (net) - 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.18 soc/core/clknet_5_8_1_core_clk (net) - 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) - 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) - 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.02 soc/core/clknet_leaf_21_core_clk (net) - 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) - 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) - 2 0.03 soc/core/sram_bus_dat_r[15] (net) - 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) - 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.05 soc/core/net2501 (net) - 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2500 (net) - 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) - 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2499 (net) - 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2498 (net) - 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2497 (net) - 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) - 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) - 1 0.00 soc/core/_11276_ (net) - 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) - 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) - 1 0.01 soc/core/_11277_ (net) - 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) - 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) - 1 0.00 soc/core/_11278_ (net) - 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) - 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) - 2 0.06 soc/core/net4058 (net) - 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) - 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) - 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) - 1 0.01 soc/core/net4057 (net) - 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) - 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) - 8 0.07 soc/core/net4056 (net) - 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) - 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) - 1 0.02 soc/core/_01130_ (net) - 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) - 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) - 1 0.01 soc/core/_01131_ (net) - 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) - 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) - 8 0.09 soc/core/_01132_ (net) - 0.17 0.01 31.22 ^ soc/core/repeater817/A (sky130_fd_sc_hd__buf_12) - 0.47 0.31 31.53 ^ soc/core/repeater817/X (sky130_fd_sc_hd__buf_12) - 78 0.44 soc/core/net817 (net) - 0.67 0.26 31.79 ^ soc/core/repeater815/A (sky130_fd_sc_hd__buf_12) - 0.46 0.36 32.15 ^ soc/core/repeater815/X (sky130_fd_sc_hd__buf_12) - 76 0.44 soc/core/net815 (net) - 0.63 0.23 32.38 ^ soc/core/_30245_/S0 (sky130_fd_sc_hd__mux4_1) - 0.12 0.72 33.10 v soc/core/_30245_/X (sky130_fd_sc_hd__mux4_1) - 1 0.01 soc/core/_01159_ (net) - 0.12 0.00 33.10 v soc/core/_30246_/A3 (sky130_fd_sc_hd__mux4_2) - 0.17 0.65 33.75 v soc/core/_30246_/X (sky130_fd_sc_hd__mux4_2) - 2 0.03 soc/core/_01160_ (net) - 0.17 0.01 33.75 v soc/core/_29051_/A1 (sky130_fd_sc_hd__mux2_8) - 0.12 0.45 34.20 v soc/core/_29051_/X (sky130_fd_sc_hd__mux2_8) - 2 0.10 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[1] (net) - 0.14 0.04 34.24 v soc/core/_31648_/D (sky130_fd_sc_hd__dfxtp_1) - 34.24 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_2_0_core_clk (net) - 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_2_1_core_clk (net) - 0.14 0.01 35.11 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.16 35.28 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_4_0_core_clk (net) - 0.05 0.00 35.28 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.12 35.39 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_4_1_core_clk (net) - 0.04 0.00 35.39 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.13 0.18 35.58 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_4_2_core_clk (net) - 0.13 0.01 35.58 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.20 35.78 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_8_0_core_clk (net) - 0.10 0.00 35.78 ^ soc/core/clkbuf_5_17_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.14 35.92 ^ soc/core/clkbuf_5_17_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_17_0_core_clk (net) - 0.04 0.00 35.92 ^ soc/core/clkbuf_5_17_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.77 0.60 36.52 ^ soc/core/clkbuf_5_17_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 32 0.26 soc/core/clknet_5_17_1_core_clk (net) - 0.77 0.01 36.52 ^ soc/core/clkbuf_leaf_355_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.10 0.30 36.83 ^ soc/core/clkbuf_leaf_355_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 28 0.07 soc/core/clknet_leaf_355_core_clk (net) - 0.10 0.00 36.83 ^ soc/core/_31648_/CLK (sky130_fd_sc_hd__dfxtp_1) - -0.25 36.58 clock uncertainty - 1.80 38.37 clock reconvergence pessimism - -0.14 38.23 library setup time - 38.23 data required time ------------------------------------------------------------------------------ - 38.23 data required time - -34.24 data arrival time ------------------------------------------------------------------------------ - 4.00 slack (MET) - - -Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 - (falling edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31291_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 12.50 12.50 clock clock (fall edge) - 0.00 12.50 clock source latency - 6.03 5.21 17.71 v clock (in) - 1 1.12 clock (net) - 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.00 clocking/clknet_0_ext_clk (net) - 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_1_0_core_clk (net) - 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_2_1_1_core_clk (net) - 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_0_core_clk (net) - 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_1_core_clk (net) - 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_2_2_core_clk (net) - 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_4_0_core_clk (net) - 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_8_0_core_clk (net) - 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.18 soc/core/clknet_5_8_1_core_clk (net) - 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) - 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) - 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.02 soc/core/clknet_leaf_21_core_clk (net) - 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) - 0.00 0.43 26.69 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[20] (sky130_sram_2kbyte_1rw1r_32x512_8) - 1 0.01 soc/core/sram_bus_dat_r[20] (net) - 0.00 0.00 26.69 v soc/core/_22934_/B (sky130_fd_sc_hd__and2_4) - 0.36 0.38 27.07 v soc/core/_22934_/X (sky130_fd_sc_hd__and2_4) - 2 0.29 soc/core/_11247_ (net) - 0.37 0.06 27.13 v soc/core/_22935_/C1 (sky130_fd_sc_hd__a221o_4) - 0.24 0.68 27.81 v soc/core/_22935_/X (sky130_fd_sc_hd__a221o_4) - 2 0.15 soc/core/_11248_ (net) - 0.24 0.02 27.83 v soc/core/_22936_/C1 (sky130_fd_sc_hd__a221o_1) - 0.09 0.39 28.21 v soc/core/_22936_/X (sky130_fd_sc_hd__a221o_1) - 1 0.01 soc/core/_11249_ (net) - 0.09 0.00 28.21 v soc/core/_22937_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.11 0.53 28.74 v soc/core/_22937_/X (sky130_fd_sc_hd__a2111o_4) - 4 0.03 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[20] (net) - 0.11 0.00 28.74 v soc/core/hold1142/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.13 0.69 29.43 v soc/core/hold1142/X (sky130_fd_sc_hd__dlygate4sd3_1) - 2 0.02 soc/core/net4009 (net) - 0.13 0.00 29.43 v soc/core/hold1143/A (sky130_fd_sc_hd__buf_4) - 0.10 0.24 29.68 v soc/core/hold1143/X (sky130_fd_sc_hd__buf_4) - 6 0.07 soc/core/net4008 (net) - 0.10 0.01 29.69 v soc/core/_29697_/A0 (sky130_fd_sc_hd__mux2_1) - 0.07 0.33 30.02 v soc/core/_29697_/X (sky130_fd_sc_hd__mux2_1) - 1 0.01 soc/core/_00742_ (net) - 0.07 0.00 30.02 v soc/core/_27064_/A (sky130_fd_sc_hd__inv_2) - 0.04 0.07 30.09 ^ soc/core/_27064_/Y (sky130_fd_sc_hd__inv_2) - 1 0.01 soc/core/_00743_ (net) - 0.04 0.00 30.09 ^ soc/core/_29696_/A0 (sky130_fd_sc_hd__mux2_8) - 0.18 0.27 30.36 ^ soc/core/_29696_/X (sky130_fd_sc_hd__mux2_8) - 8 0.10 soc/core/_00744_ (net) - 0.19 0.02 30.38 ^ soc/core/repeater795/A (sky130_fd_sc_hd__buf_12) - 0.46 0.36 30.74 ^ soc/core/repeater795/X (sky130_fd_sc_hd__buf_12) - 70 0.42 soc/core/net795 (net) - 0.60 0.21 30.95 ^ soc/core/repeater794/A (sky130_fd_sc_hd__buf_12) - 0.51 0.51 31.46 ^ soc/core/repeater794/X (sky130_fd_sc_hd__buf_12) - 78 0.45 soc/core/net794 (net) - 0.52 0.06 31.52 ^ soc/core/repeater793/A (sky130_fd_sc_hd__buf_12) - 0.50 0.43 31.95 ^ soc/core/repeater793/X (sky130_fd_sc_hd__buf_12) - 78 0.45 soc/core/net793 (net) - 0.51 0.08 32.03 ^ soc/core/repeater792/A (sky130_fd_sc_hd__buf_12) - 0.40 0.37 32.40 ^ soc/core/repeater792/X (sky130_fd_sc_hd__buf_12) - 68 0.36 soc/core/net792 (net) - 0.44 0.10 32.50 ^ soc/core/_30764_/S0 (sky130_fd_sc_hd__mux4_2) - 0.15 0.70 33.20 v soc/core/_30764_/X (sky130_fd_sc_hd__mux4_2) - 2 0.03 soc/core/_00939_ (net) - 0.15 0.00 33.20 v soc/core/_30767_/A1 (sky130_fd_sc_hd__mux4_1) - 0.12 0.62 33.82 v soc/core/_30767_/X (sky130_fd_sc_hd__mux4_1) - 1 0.01 soc/core/_00942_ (net) - 0.12 0.00 33.82 v soc/core/_29381_/A1 (sky130_fd_sc_hd__mux2_2) - 0.13 0.40 34.22 v soc/core/_29381_/X (sky130_fd_sc_hd__mux2_2) - 2 0.03 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[0]$d[18] (net) - 0.13 0.01 34.23 v soc/core/_31291_/D (sky130_fd_sc_hd__dfxtp_1) - 34.23 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_2_0_core_clk (net) - 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_2_1_core_clk (net) - 0.14 0.01 35.11 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.16 35.28 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_4_0_core_clk (net) - 0.05 0.00 35.28 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.12 35.39 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_4_1_core_clk (net) - 0.04 0.00 35.39 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.13 0.18 35.58 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_4_2_core_clk (net) - 0.13 0.01 35.58 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.20 35.78 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_8_0_core_clk (net) - 0.10 0.00 35.78 ^ soc/core/clkbuf_5_17_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.14 35.92 ^ soc/core/clkbuf_5_17_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_17_0_core_clk (net) - 0.04 0.00 35.92 ^ soc/core/clkbuf_5_17_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.77 0.60 36.52 ^ soc/core/clkbuf_5_17_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 32 0.26 soc/core/clknet_5_17_1_core_clk (net) - 0.77 0.02 36.54 ^ soc/core/clkbuf_leaf_322_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.09 0.30 36.84 ^ soc/core/clkbuf_leaf_322_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 26 0.06 soc/core/clknet_leaf_322_core_clk (net) - 0.09 0.00 36.84 ^ soc/core/_31291_/CLK (sky130_fd_sc_hd__dfxtp_1) - -0.25 36.59 clock uncertainty - 1.80 38.39 clock reconvergence pessimism - -0.14 38.25 library setup time - 38.25 data required time ------------------------------------------------------------------------------ - 38.25 data required time - -34.23 data arrival time ------------------------------------------------------------------------------ - 4.02 slack (MET) - - -Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 - (falling edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31649_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 12.50 12.50 clock clock (fall edge) - 0.00 12.50 clock source latency - 6.03 5.21 17.71 v clock (in) - 1 1.12 clock (net) - 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.00 clocking/clknet_0_ext_clk (net) - 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_1_0_core_clk (net) - 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_2_1_1_core_clk (net) - 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_0_core_clk (net) - 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_1_core_clk (net) - 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_2_2_core_clk (net) - 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_4_0_core_clk (net) - 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_8_0_core_clk (net) - 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.18 soc/core/clknet_5_8_1_core_clk (net) - 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) - 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) - 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.02 soc/core/clknet_leaf_21_core_clk (net) - 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) - 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) - 2 0.03 soc/core/sram_bus_dat_r[15] (net) - 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) - 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.05 soc/core/net2501 (net) - 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2500 (net) - 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) - 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2499 (net) - 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2498 (net) - 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2497 (net) - 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) - 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) - 1 0.00 soc/core/_11276_ (net) - 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) - 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) - 1 0.01 soc/core/_11277_ (net) - 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) - 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) - 1 0.00 soc/core/_11278_ (net) - 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) - 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) - 2 0.06 soc/core/net4058 (net) - 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) - 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) - 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) - 1 0.01 soc/core/net4057 (net) - 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) - 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) - 8 0.07 soc/core/net4056 (net) - 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) - 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) - 1 0.02 soc/core/_01130_ (net) - 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) - 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) - 1 0.01 soc/core/_01131_ (net) - 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) - 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) - 8 0.09 soc/core/_01132_ (net) - 0.17 0.01 31.22 ^ soc/core/repeater817/A (sky130_fd_sc_hd__buf_12) - 0.47 0.31 31.53 ^ soc/core/repeater817/X (sky130_fd_sc_hd__buf_12) - 78 0.44 soc/core/net817 (net) - 0.67 0.26 31.79 ^ soc/core/repeater815/A (sky130_fd_sc_hd__buf_12) - 0.46 0.36 32.15 ^ soc/core/repeater815/X (sky130_fd_sc_hd__buf_12) - 76 0.44 soc/core/net815 (net) - 0.67 0.26 32.41 ^ soc/core/_30253_/S0 (sky130_fd_sc_hd__mux4_2) - 0.16 0.73 33.14 v soc/core/_30253_/X (sky130_fd_sc_hd__mux4_2) - 2 0.03 soc/core/_01167_ (net) - 0.16 0.00 33.14 v soc/core/_30256_/A1 (sky130_fd_sc_hd__mux4_2) - 0.22 0.70 33.84 v soc/core/_30256_/X (sky130_fd_sc_hd__mux4_2) - 2 0.06 soc/core/_01170_ (net) - 0.22 0.01 33.86 v soc/core/_29052_/A1 (sky130_fd_sc_hd__mux2_4) - 0.13 0.45 34.30 v soc/core/_29052_/X (sky130_fd_sc_hd__mux2_4) - 2 0.07 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[2] (net) - 0.13 0.00 34.31 v soc/core/_31649_/D (sky130_fd_sc_hd__dfxtp_1) - 34.31 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_2_0_core_clk (net) - 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_2_1_core_clk (net) - 0.14 0.01 35.11 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.16 35.28 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_4_0_core_clk (net) - 0.05 0.00 35.28 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.12 35.39 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_4_1_core_clk (net) - 0.04 0.00 35.39 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.13 0.18 35.58 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_4_2_core_clk (net) - 0.13 0.01 35.58 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.20 35.78 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_8_0_core_clk (net) - 0.10 0.00 35.78 ^ soc/core/clkbuf_5_16_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.14 35.92 ^ soc/core/clkbuf_5_16_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_16_0_core_clk (net) - 0.04 0.00 35.92 ^ soc/core/clkbuf_5_16_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.93 0.71 36.63 ^ soc/core/clkbuf_5_16_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 38 0.32 soc/core/clknet_5_16_1_core_clk (net) - 0.93 0.01 36.64 ^ soc/core/clkbuf_leaf_335_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.08 0.30 36.94 ^ soc/core/clkbuf_leaf_335_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 16 0.05 soc/core/clknet_leaf_335_core_clk (net) - 0.08 0.00 36.94 ^ soc/core/_31649_/CLK (sky130_fd_sc_hd__dfxtp_1) - -0.25 36.69 clock uncertainty - 1.80 38.48 clock reconvergence pessimism - -0.14 38.35 library setup time - 38.35 data required time ------------------------------------------------------------------------------ - 38.35 data required time - -34.31 data arrival time ------------------------------------------------------------------------------ - 4.04 slack (MET) - - -Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 - (falling edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31290_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 12.50 12.50 clock clock (fall edge) - 0.00 12.50 clock source latency - 6.03 5.21 17.71 v clock (in) - 1 1.12 clock (net) - 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.00 clocking/clknet_0_ext_clk (net) - 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_1_0_core_clk (net) - 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_2_1_1_core_clk (net) - 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_0_core_clk (net) - 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_1_core_clk (net) - 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_2_2_core_clk (net) - 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_4_0_core_clk (net) - 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_8_0_core_clk (net) - 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.18 soc/core/clknet_5_8_1_core_clk (net) - 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) - 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) - 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.02 soc/core/clknet_leaf_21_core_clk (net) - 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) - 0.00 0.43 26.69 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[20] (sky130_sram_2kbyte_1rw1r_32x512_8) - 1 0.01 soc/core/sram_bus_dat_r[20] (net) - 0.00 0.00 26.69 v soc/core/_22934_/B (sky130_fd_sc_hd__and2_4) - 0.36 0.38 27.07 v soc/core/_22934_/X (sky130_fd_sc_hd__and2_4) - 2 0.29 soc/core/_11247_ (net) - 0.37 0.06 27.13 v soc/core/_22935_/C1 (sky130_fd_sc_hd__a221o_4) - 0.24 0.68 27.81 v soc/core/_22935_/X (sky130_fd_sc_hd__a221o_4) - 2 0.15 soc/core/_11248_ (net) - 0.24 0.02 27.83 v soc/core/_22936_/C1 (sky130_fd_sc_hd__a221o_1) - 0.09 0.39 28.21 v soc/core/_22936_/X (sky130_fd_sc_hd__a221o_1) - 1 0.01 soc/core/_11249_ (net) - 0.09 0.00 28.21 v soc/core/_22937_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.11 0.53 28.74 v soc/core/_22937_/X (sky130_fd_sc_hd__a2111o_4) - 4 0.03 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[20] (net) - 0.11 0.00 28.74 v soc/core/hold1142/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.13 0.69 29.43 v soc/core/hold1142/X (sky130_fd_sc_hd__dlygate4sd3_1) - 2 0.02 soc/core/net4009 (net) - 0.13 0.00 29.43 v soc/core/hold1143/A (sky130_fd_sc_hd__buf_4) - 0.10 0.24 29.68 v soc/core/hold1143/X (sky130_fd_sc_hd__buf_4) - 6 0.07 soc/core/net4008 (net) - 0.10 0.01 29.69 v soc/core/_29697_/A0 (sky130_fd_sc_hd__mux2_1) - 0.07 0.33 30.02 v soc/core/_29697_/X (sky130_fd_sc_hd__mux2_1) - 1 0.01 soc/core/_00742_ (net) - 0.07 0.00 30.02 v soc/core/_27064_/A (sky130_fd_sc_hd__inv_2) - 0.04 0.07 30.09 ^ soc/core/_27064_/Y (sky130_fd_sc_hd__inv_2) - 1 0.01 soc/core/_00743_ (net) - 0.04 0.00 30.09 ^ soc/core/_29696_/A0 (sky130_fd_sc_hd__mux2_8) - 0.18 0.27 30.36 ^ soc/core/_29696_/X (sky130_fd_sc_hd__mux2_8) - 8 0.10 soc/core/_00744_ (net) - 0.19 0.02 30.38 ^ soc/core/repeater795/A (sky130_fd_sc_hd__buf_12) - 0.46 0.36 30.74 ^ soc/core/repeater795/X (sky130_fd_sc_hd__buf_12) - 70 0.42 soc/core/net795 (net) - 0.60 0.21 30.95 ^ soc/core/repeater794/A (sky130_fd_sc_hd__buf_12) - 0.51 0.51 31.46 ^ soc/core/repeater794/X (sky130_fd_sc_hd__buf_12) - 78 0.45 soc/core/net794 (net) - 0.52 0.06 31.52 ^ soc/core/repeater793/A (sky130_fd_sc_hd__buf_12) - 0.50 0.43 31.95 ^ soc/core/repeater793/X (sky130_fd_sc_hd__buf_12) - 78 0.45 soc/core/net793 (net) - 0.51 0.08 32.03 ^ soc/core/repeater792/A (sky130_fd_sc_hd__buf_12) - 0.40 0.37 32.40 ^ soc/core/repeater792/X (sky130_fd_sc_hd__buf_12) - 68 0.36 soc/core/net792 (net) - 0.44 0.11 32.50 ^ soc/core/_30753_/S0 (sky130_fd_sc_hd__mux4_1) - 0.13 0.71 33.21 v soc/core/_30753_/X (sky130_fd_sc_hd__mux4_1) - 1 0.01 soc/core/_00928_ (net) - 0.13 0.00 33.21 v soc/core/_30757_/A0 (sky130_fd_sc_hd__mux4_2) - 0.12 0.58 33.79 v soc/core/_30757_/X (sky130_fd_sc_hd__mux4_2) - 2 0.02 soc/core/_00932_ (net) - 0.12 0.00 33.79 v soc/core/_29380_/A1 (sky130_fd_sc_hd__mux2_4) - 0.11 0.37 34.16 v soc/core/_29380_/X (sky130_fd_sc_hd__mux2_4) - 2 0.04 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[0]$d[17] (net) - 0.12 0.01 34.17 v soc/core/_31290_/D (sky130_fd_sc_hd__dfxtp_1) - 34.17 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_2_0_core_clk (net) - 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_2_1_core_clk (net) - 0.14 0.01 35.11 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.16 35.28 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_5_0_core_clk (net) - 0.05 0.00 35.28 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.12 35.40 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_5_1_core_clk (net) - 0.04 0.00 35.40 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.11 0.17 35.57 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_3_5_2_core_clk (net) - 0.11 0.00 35.58 ^ soc/core/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.19 35.77 ^ soc/core/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_10_0_core_clk (net) - 0.10 0.00 35.77 ^ soc/core/clkbuf_5_20_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.14 35.91 ^ soc/core/clkbuf_5_20_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_20_0_core_clk (net) - 0.04 0.00 35.91 ^ soc/core/clkbuf_5_20_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.75 0.59 36.50 ^ soc/core/clkbuf_5_20_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 34 0.26 soc/core/clknet_5_20_1_core_clk (net) - 0.75 0.01 36.51 ^ soc/core/clkbuf_leaf_287_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.10 0.31 36.82 ^ soc/core/clkbuf_leaf_287_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 30 0.08 soc/core/clknet_leaf_287_core_clk (net) - 0.10 0.00 36.82 ^ soc/core/_31290_/CLK (sky130_fd_sc_hd__dfxtp_1) - -0.25 36.57 clock uncertainty - 1.80 38.36 clock reconvergence pessimism - -0.13 38.23 library setup time - 38.23 data required time ------------------------------------------------------------------------------ - 38.23 data required time - -34.17 data arrival time ------------------------------------------------------------------------------ - 4.06 slack (MET) - - -Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 - (falling edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31674_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 12.50 12.50 clock clock (fall edge) - 0.00 12.50 clock source latency - 6.03 5.21 17.71 v clock (in) - 1 1.12 clock (net) - 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.00 clocking/clknet_0_ext_clk (net) - 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_1_0_core_clk (net) - 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_2_1_1_core_clk (net) - 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_0_core_clk (net) - 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_1_core_clk (net) - 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_2_2_core_clk (net) - 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_4_0_core_clk (net) - 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_8_0_core_clk (net) - 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.18 soc/core/clknet_5_8_1_core_clk (net) - 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) - 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) - 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.02 soc/core/clknet_leaf_21_core_clk (net) - 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) - 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) - 2 0.03 soc/core/sram_bus_dat_r[15] (net) - 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) - 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.05 soc/core/net2501 (net) - 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2500 (net) - 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) - 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2499 (net) - 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2498 (net) - 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2497 (net) - 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) - 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) - 1 0.00 soc/core/_11276_ (net) - 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) - 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) - 1 0.01 soc/core/_11277_ (net) - 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) - 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) - 1 0.00 soc/core/_11278_ (net) - 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) - 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) - 2 0.06 soc/core/net4058 (net) - 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) - 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) - 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) - 1 0.01 soc/core/net4057 (net) - 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) - 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) - 8 0.07 soc/core/net4056 (net) - 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) - 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) - 1 0.02 soc/core/_01130_ (net) - 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) - 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) - 1 0.01 soc/core/_01131_ (net) - 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) - 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) - 8 0.09 soc/core/_01132_ (net) - 0.17 0.01 31.22 ^ soc/core/repeater817/A (sky130_fd_sc_hd__buf_12) - 0.47 0.31 31.53 ^ soc/core/repeater817/X (sky130_fd_sc_hd__buf_12) - 78 0.44 soc/core/net817 (net) - 0.67 0.26 31.79 ^ soc/core/repeater815/A (sky130_fd_sc_hd__buf_12) - 0.46 0.36 32.15 ^ soc/core/repeater815/X (sky130_fd_sc_hd__buf_12) - 76 0.44 soc/core/net815 (net) - 0.70 0.29 32.44 ^ soc/core/_30505_/S0 (sky130_fd_sc_hd__mux4_1) - 0.14 0.76 33.19 v soc/core/_30505_/X (sky130_fd_sc_hd__mux4_1) - 1 0.01 soc/core/_01419_ (net) - 0.14 0.00 33.19 v soc/core/_30506_/A3 (sky130_fd_sc_hd__mux4_2) - 0.11 0.58 33.77 v soc/core/_30506_/X (sky130_fd_sc_hd__mux4_2) - 1 0.01 soc/core/_01420_ (net) - 0.11 0.00 33.77 v soc/core/_29077_/A1 (sky130_fd_sc_hd__mux2_8) - 0.15 0.44 34.21 v soc/core/_29077_/X (sky130_fd_sc_hd__mux2_8) - 2 0.13 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[27] (net) - 0.15 0.03 34.24 v soc/core/_31674_/D (sky130_fd_sc_hd__dfxtp_1) - 34.24 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 33.99 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.12 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.05 0.00 34.12 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.25 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.05 0.00 34.25 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.38 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.05 0.00 34.39 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.11 34.49 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.03 0.00 34.49 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.24 0.24 34.74 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.25 0.03 34.76 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.17 34.94 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_0_0_core_clk (net) - 0.03 0.00 34.94 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.52 0.40 35.34 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.18 soc/core/clknet_2_0_1_core_clk (net) - 0.54 0.07 35.41 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.23 35.63 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_3_1_0_core_clk (net) - 0.04 0.00 35.63 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.11 35.74 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_3_1_1_core_clk (net) - 0.03 0.00 35.74 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.14 35.88 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.02 soc/core/clknet_3_1_2_core_clk (net) - 0.08 0.00 35.89 ^ soc/core/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.06 0.15 36.04 ^ soc/core/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 2 0.02 soc/core/clknet_4_2_0_core_clk (net) - 0.06 0.00 36.04 ^ soc/core/clkbuf_5_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.12 36.16 ^ soc/core/clkbuf_5_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_5_0_core_clk (net) - 0.04 0.00 36.16 ^ soc/core/clkbuf_5_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.56 0.47 36.63 ^ soc/core/clkbuf_5_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 24 0.19 soc/core/clknet_5_5_1_core_clk (net) - 0.56 0.01 36.64 ^ soc/core/clkbuf_leaf_385_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.10 0.28 36.92 ^ soc/core/clkbuf_leaf_385_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 30 0.07 soc/core/clknet_leaf_385_core_clk (net) - 0.10 0.00 36.92 ^ soc/core/_31674_/CLK (sky130_fd_sc_hd__dfxtp_1) - -0.25 36.67 clock uncertainty - 1.87 38.54 clock reconvergence pessimism - -0.15 38.40 library setup time - 38.40 data required time ------------------------------------------------------------------------------ - 38.40 data required time - -34.24 data arrival time ------------------------------------------------------------------------------ - 4.16 slack (MET) - - -Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 - (falling edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31672_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 12.50 12.50 clock clock (fall edge) - 0.00 12.50 clock source latency - 6.03 5.21 17.71 v clock (in) - 1 1.12 clock (net) - 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.00 clocking/clknet_0_ext_clk (net) - 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_1_0_core_clk (net) - 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_2_1_1_core_clk (net) - 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_0_core_clk (net) - 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_1_core_clk (net) - 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_2_2_core_clk (net) - 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_4_0_core_clk (net) - 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_8_0_core_clk (net) - 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.18 soc/core/clknet_5_8_1_core_clk (net) - 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) - 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) - 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.02 soc/core/clknet_leaf_21_core_clk (net) - 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) - 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) - 2 0.03 soc/core/sram_bus_dat_r[15] (net) - 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) - 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.05 soc/core/net2501 (net) - 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2500 (net) - 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) - 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2499 (net) - 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2498 (net) - 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2497 (net) - 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) - 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) - 1 0.00 soc/core/_11276_ (net) - 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) - 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) - 1 0.01 soc/core/_11277_ (net) - 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) - 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) - 1 0.00 soc/core/_11278_ (net) - 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) - 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) - 2 0.06 soc/core/net4058 (net) - 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) - 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) - 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) - 1 0.01 soc/core/net4057 (net) - 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) - 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) - 8 0.07 soc/core/net4056 (net) - 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) - 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) - 1 0.02 soc/core/_01130_ (net) - 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) - 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) - 1 0.01 soc/core/_01131_ (net) - 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) - 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) - 8 0.09 soc/core/_01132_ (net) - 0.17 0.01 31.22 ^ soc/core/repeater817/A (sky130_fd_sc_hd__buf_12) - 0.47 0.31 31.53 ^ soc/core/repeater817/X (sky130_fd_sc_hd__buf_12) - 78 0.44 soc/core/net817 (net) - 0.67 0.26 31.79 ^ soc/core/repeater815/A (sky130_fd_sc_hd__buf_12) - 0.46 0.36 32.15 ^ soc/core/repeater815/X (sky130_fd_sc_hd__buf_12) - 76 0.44 soc/core/net815 (net) - 0.68 0.27 32.42 ^ soc/core/_30480_/S0 (sky130_fd_sc_hd__mux4_1) - 0.13 0.73 33.16 v soc/core/_30480_/X (sky130_fd_sc_hd__mux4_1) - 1 0.01 soc/core/_01394_ (net) - 0.13 0.00 33.16 v soc/core/_30481_/A3 (sky130_fd_sc_hd__mux4_1) - 0.12 0.58 33.74 v soc/core/_30481_/X (sky130_fd_sc_hd__mux4_1) - 1 0.01 soc/core/_01395_ (net) - 0.12 0.00 33.74 v soc/core/_29075_/A0 (sky130_fd_sc_hd__mux2_8) - 0.14 0.45 34.19 v soc/core/_29075_/X (sky130_fd_sc_hd__mux2_8) - 2 0.12 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[25] (net) - 0.14 0.01 34.20 v soc/core/_31672_/D (sky130_fd_sc_hd__dfxtp_1) - 34.20 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_2_0_core_clk (net) - 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_2_1_core_clk (net) - 0.14 0.01 35.11 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.16 35.28 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_4_0_core_clk (net) - 0.05 0.00 35.28 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.12 35.39 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_4_1_core_clk (net) - 0.04 0.00 35.39 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.13 0.18 35.58 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_4_2_core_clk (net) - 0.13 0.01 35.58 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.20 35.78 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_8_0_core_clk (net) - 0.10 0.00 35.78 ^ soc/core/clkbuf_5_16_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.14 35.92 ^ soc/core/clkbuf_5_16_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_16_0_core_clk (net) - 0.04 0.00 35.92 ^ soc/core/clkbuf_5_16_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.93 0.71 36.63 ^ soc/core/clkbuf_5_16_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 38 0.32 soc/core/clknet_5_16_1_core_clk (net) - 0.94 0.03 36.66 ^ soc/core/clkbuf_leaf_349_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.10 0.31 36.97 ^ soc/core/clkbuf_leaf_349_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 24 0.06 soc/core/clknet_leaf_349_core_clk (net) - 0.10 0.00 36.97 ^ soc/core/_31672_/CLK (sky130_fd_sc_hd__dfxtp_1) - -0.25 36.72 clock uncertainty - 1.80 38.51 clock reconvergence pessimism - -0.14 38.37 library setup time - 38.37 data required time ------------------------------------------------------------------------------ - 38.37 data required time - -34.20 data arrival time ------------------------------------------------------------------------------ - 4.17 slack (MET) - - -Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 - (falling edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31660_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 12.50 12.50 clock clock (fall edge) - 0.00 12.50 clock source latency - 6.03 5.21 17.71 v clock (in) - 1 1.12 clock (net) - 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.00 clocking/clknet_0_ext_clk (net) - 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_1_0_core_clk (net) - 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_2_1_1_core_clk (net) - 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_0_core_clk (net) - 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_1_core_clk (net) - 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_2_2_core_clk (net) - 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_4_0_core_clk (net) - 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_8_0_core_clk (net) - 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.18 soc/core/clknet_5_8_1_core_clk (net) - 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) - 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) - 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.02 soc/core/clknet_leaf_21_core_clk (net) - 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) - 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) - 2 0.03 soc/core/sram_bus_dat_r[15] (net) - 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) - 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.05 soc/core/net2501 (net) - 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2500 (net) - 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) - 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2499 (net) - 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2498 (net) - 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2497 (net) - 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) - 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) - 1 0.00 soc/core/_11276_ (net) - 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) - 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) - 1 0.01 soc/core/_11277_ (net) - 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) - 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) - 1 0.00 soc/core/_11278_ (net) - 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) - 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) - 2 0.06 soc/core/net4058 (net) - 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) - 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) - 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) - 1 0.01 soc/core/net4057 (net) - 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) - 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) - 8 0.07 soc/core/net4056 (net) - 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) - 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) - 1 0.02 soc/core/_01130_ (net) - 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) - 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) - 1 0.01 soc/core/_01131_ (net) - 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) - 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) - 8 0.09 soc/core/_01132_ (net) - 0.17 0.01 31.22 ^ soc/core/repeater816/A (sky130_fd_sc_hd__buf_12) - 0.44 0.36 31.58 ^ soc/core/repeater816/X (sky130_fd_sc_hd__buf_12) - 66 0.40 soc/core/net816 (net) - 0.50 0.13 31.72 ^ soc/core/repeater813/A (sky130_fd_sc_hd__buf_12) - 0.48 0.43 32.15 ^ soc/core/repeater813/X (sky130_fd_sc_hd__buf_12) - 78 0.44 soc/core/net813 (net) - 0.59 0.18 32.33 ^ soc/core/_30365_/S0 (sky130_fd_sc_hd__mux4_1) - 0.13 0.73 33.06 v soc/core/_30365_/X (sky130_fd_sc_hd__mux4_1) - 1 0.01 soc/core/_01279_ (net) - 0.13 0.00 33.06 v soc/core/_30366_/A3 (sky130_fd_sc_hd__mux4_2) - 0.11 0.57 33.63 v soc/core/_30366_/X (sky130_fd_sc_hd__mux4_2) - 1 0.01 soc/core/_01280_ (net) - 0.11 0.00 33.63 v soc/core/_29063_/A1 (sky130_fd_sc_hd__mux2_1) - 0.11 0.39 34.02 v soc/core/_29063_/X (sky130_fd_sc_hd__mux2_1) - 1 0.01 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[13] (net) - 0.11 0.00 34.02 v soc/core/_31660_/D (sky130_fd_sc_hd__dfxtp_1) - 34.02 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_2_0_core_clk (net) - 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_2_1_core_clk (net) - 0.14 0.01 35.11 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.16 35.28 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_4_0_core_clk (net) - 0.05 0.00 35.28 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.12 35.39 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_4_1_core_clk (net) - 0.04 0.00 35.39 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.13 0.18 35.58 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_4_2_core_clk (net) - 0.13 0.01 35.58 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.20 35.78 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_8_0_core_clk (net) - 0.10 0.00 35.78 ^ soc/core/clkbuf_5_17_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.14 35.92 ^ soc/core/clkbuf_5_17_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_17_0_core_clk (net) - 0.04 0.00 35.92 ^ soc/core/clkbuf_5_17_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.77 0.60 36.52 ^ soc/core/clkbuf_5_17_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 32 0.26 soc/core/clknet_5_17_1_core_clk (net) - 0.77 0.02 36.54 ^ soc/core/clkbuf_leaf_328_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.07 0.27 36.81 ^ soc/core/clkbuf_leaf_328_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 6 0.03 soc/core/clknet_leaf_328_core_clk (net) - 0.07 0.00 36.82 ^ soc/core/_31660_/CLK (sky130_fd_sc_hd__dfxtp_1) - -0.25 36.57 clock uncertainty - 1.80 38.36 clock reconvergence pessimism - -0.13 38.23 library setup time - 38.23 data required time ------------------------------------------------------------------------------ - 38.23 data required time - -34.02 data arrival time ------------------------------------------------------------------------------ - 4.20 slack (MET) - - -Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 - (falling edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31657_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 12.50 12.50 clock clock (fall edge) - 0.00 12.50 clock source latency - 6.03 5.21 17.71 v clock (in) - 1 1.12 clock (net) - 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.00 clocking/clknet_0_ext_clk (net) - 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_1_0_core_clk (net) - 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_2_1_1_core_clk (net) - 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_0_core_clk (net) - 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_1_core_clk (net) - 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_2_2_core_clk (net) - 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_4_0_core_clk (net) - 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_8_0_core_clk (net) - 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.18 soc/core/clknet_5_8_1_core_clk (net) - 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) - 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) - 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.02 soc/core/clknet_leaf_21_core_clk (net) - 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) - 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) - 2 0.03 soc/core/sram_bus_dat_r[15] (net) - 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) - 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.05 soc/core/net2501 (net) - 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2500 (net) - 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) - 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2499 (net) - 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2498 (net) - 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2497 (net) - 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) - 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) - 1 0.00 soc/core/_11276_ (net) - 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) - 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) - 1 0.01 soc/core/_11277_ (net) - 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) - 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) - 1 0.00 soc/core/_11278_ (net) - 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) - 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) - 2 0.06 soc/core/net4058 (net) - 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) - 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) - 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) - 1 0.01 soc/core/net4057 (net) - 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) - 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) - 8 0.07 soc/core/net4056 (net) - 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) - 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) - 1 0.02 soc/core/_01130_ (net) - 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) - 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) - 1 0.01 soc/core/_01131_ (net) - 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) - 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) - 8 0.09 soc/core/_01132_ (net) - 0.17 0.01 31.22 ^ soc/core/repeater816/A (sky130_fd_sc_hd__buf_12) - 0.44 0.36 31.58 ^ soc/core/repeater816/X (sky130_fd_sc_hd__buf_12) - 66 0.40 soc/core/net816 (net) - 0.50 0.13 31.72 ^ soc/core/repeater813/A (sky130_fd_sc_hd__buf_12) - 0.48 0.43 32.15 ^ soc/core/repeater813/X (sky130_fd_sc_hd__buf_12) - 78 0.44 soc/core/net813 (net) - 0.59 0.18 32.33 ^ soc/core/_30333_/S0 (sky130_fd_sc_hd__mux4_1) - 0.13 0.73 33.06 v soc/core/_30333_/X (sky130_fd_sc_hd__mux4_1) - 1 0.01 soc/core/_01247_ (net) - 0.13 0.00 33.06 v soc/core/_30336_/A1 (sky130_fd_sc_hd__mux4_1) - 0.12 0.61 33.67 v soc/core/_30336_/X (sky130_fd_sc_hd__mux4_1) - 1 0.01 soc/core/_01250_ (net) - 0.12 0.00 33.67 v soc/core/_29060_/A1 (sky130_fd_sc_hd__mux2_1) - 0.09 0.37 34.04 v soc/core/_29060_/X (sky130_fd_sc_hd__mux2_1) - 1 0.01 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[10] (net) - 0.09 0.00 34.04 v soc/core/_31657_/D (sky130_fd_sc_hd__dfxtp_1) - 34.04 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_2_0_core_clk (net) - 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_2_1_core_clk (net) - 0.14 0.01 35.11 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.16 35.28 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_5_0_core_clk (net) - 0.05 0.00 35.28 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.12 35.40 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_5_1_core_clk (net) - 0.04 0.00 35.40 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.11 0.17 35.57 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_3_5_2_core_clk (net) - 0.11 0.00 35.58 ^ soc/core/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.19 35.77 ^ soc/core/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_10_0_core_clk (net) - 0.10 0.00 35.77 ^ soc/core/clkbuf_5_20_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.14 35.91 ^ soc/core/clkbuf_5_20_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_20_0_core_clk (net) - 0.04 0.00 35.91 ^ soc/core/clkbuf_5_20_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.75 0.59 36.50 ^ soc/core/clkbuf_5_20_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 34 0.26 soc/core/clknet_5_20_1_core_clk (net) - 0.76 0.02 36.52 ^ soc/core/clkbuf_leaf_316_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.09 0.29 36.82 ^ soc/core/clkbuf_leaf_316_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 22 0.06 soc/core/clknet_leaf_316_core_clk (net) - 0.09 0.00 36.82 ^ soc/core/_31657_/CLK (sky130_fd_sc_hd__dfxtp_1) - -0.25 36.57 clock uncertainty - 1.80 38.37 clock reconvergence pessimism - -0.12 38.25 library setup time - 38.25 data required time ------------------------------------------------------------------------------ - 38.25 data required time - -34.04 data arrival time ------------------------------------------------------------------------------ - 4.21 slack (MET) - - -Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 - (falling edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31668_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 12.50 12.50 clock clock (fall edge) - 0.00 12.50 clock source latency - 6.03 5.21 17.71 v clock (in) - 1 1.12 clock (net) - 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.00 clocking/clknet_0_ext_clk (net) - 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_1_0_core_clk (net) - 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_2_1_1_core_clk (net) - 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_0_core_clk (net) - 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_1_core_clk (net) - 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_2_2_core_clk (net) - 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_4_0_core_clk (net) - 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_8_0_core_clk (net) - 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.18 soc/core/clknet_5_8_1_core_clk (net) - 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) - 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) - 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.02 soc/core/clknet_leaf_21_core_clk (net) - 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) - 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) - 2 0.03 soc/core/sram_bus_dat_r[15] (net) - 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) - 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.05 soc/core/net2501 (net) - 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2500 (net) - 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) - 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2499 (net) - 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2498 (net) - 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2497 (net) - 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) - 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) - 1 0.00 soc/core/_11276_ (net) - 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) - 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) - 1 0.01 soc/core/_11277_ (net) - 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) - 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) - 1 0.00 soc/core/_11278_ (net) - 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) - 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) - 2 0.06 soc/core/net4058 (net) - 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) - 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) - 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) - 1 0.01 soc/core/net4057 (net) - 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) - 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) - 8 0.07 soc/core/net4056 (net) - 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) - 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) - 1 0.02 soc/core/_01130_ (net) - 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) - 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) - 1 0.01 soc/core/_01131_ (net) - 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) - 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) - 8 0.09 soc/core/_01132_ (net) - 0.17 0.01 31.22 ^ soc/core/repeater816/A (sky130_fd_sc_hd__buf_12) - 0.44 0.36 31.58 ^ soc/core/repeater816/X (sky130_fd_sc_hd__buf_12) - 66 0.40 soc/core/net816 (net) - 0.50 0.13 31.72 ^ soc/core/repeater813/A (sky130_fd_sc_hd__buf_12) - 0.48 0.43 32.15 ^ soc/core/repeater813/X (sky130_fd_sc_hd__buf_12) - 78 0.44 soc/core/net813 (net) - 0.58 0.18 32.32 ^ soc/core/_30445_/S0 (sky130_fd_sc_hd__mux4_1) - 0.14 0.74 33.06 v soc/core/_30445_/X (sky130_fd_sc_hd__mux4_1) - 1 0.01 soc/core/_01359_ (net) - 0.14 0.00 33.06 v soc/core/_30446_/A3 (sky130_fd_sc_hd__mux4_1) - 0.10 0.55 33.61 v soc/core/_30446_/X (sky130_fd_sc_hd__mux4_1) - 1 0.00 soc/core/_01360_ (net) - 0.10 0.00 33.61 v soc/core/_29071_/A1 (sky130_fd_sc_hd__mux2_1) - 0.12 0.40 34.01 v soc/core/_29071_/X (sky130_fd_sc_hd__mux2_1) - 2 0.02 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[21] (net) - 0.12 0.00 34.01 v soc/core/_31668_/D (sky130_fd_sc_hd__dfxtp_1) - 34.01 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_2_0_core_clk (net) - 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_2_1_core_clk (net) - 0.14 0.01 35.11 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.16 35.28 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_4_0_core_clk (net) - 0.05 0.00 35.28 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.12 35.39 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_4_1_core_clk (net) - 0.04 0.00 35.39 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.13 0.18 35.58 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_4_2_core_clk (net) - 0.13 0.01 35.58 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.20 35.78 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_8_0_core_clk (net) - 0.10 0.00 35.78 ^ soc/core/clkbuf_5_17_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.14 35.92 ^ soc/core/clkbuf_5_17_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_17_0_core_clk (net) - 0.04 0.00 35.92 ^ soc/core/clkbuf_5_17_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.77 0.60 36.52 ^ soc/core/clkbuf_5_17_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 32 0.26 soc/core/clknet_5_17_1_core_clk (net) - 0.77 0.02 36.54 ^ soc/core/clkbuf_leaf_322_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.09 0.30 36.84 ^ soc/core/clkbuf_leaf_322_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 26 0.06 soc/core/clknet_leaf_322_core_clk (net) - 0.09 0.00 36.84 ^ soc/core/_31668_/CLK (sky130_fd_sc_hd__dfxtp_1) - -0.25 36.59 clock uncertainty - 1.80 38.39 clock reconvergence pessimism - -0.13 38.26 library setup time - 38.26 data required time ------------------------------------------------------------------------------ - 38.26 data required time - -34.01 data arrival time ------------------------------------------------------------------------------ - 4.24 slack (MET) - - -Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 - (falling edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31293_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 12.50 12.50 clock clock (fall edge) - 0.00 12.50 clock source latency - 6.03 5.21 17.71 v clock (in) - 1 1.12 clock (net) - 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.00 clocking/clknet_0_ext_clk (net) - 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_1_0_core_clk (net) - 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_2_1_1_core_clk (net) - 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_0_core_clk (net) - 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_1_core_clk (net) - 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_2_2_core_clk (net) - 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_4_0_core_clk (net) - 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_8_0_core_clk (net) - 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.18 soc/core/clknet_5_8_1_core_clk (net) - 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) - 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) - 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.02 soc/core/clknet_leaf_21_core_clk (net) - 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) - 0.00 0.43 26.69 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[20] (sky130_sram_2kbyte_1rw1r_32x512_8) - 1 0.01 soc/core/sram_bus_dat_r[20] (net) - 0.00 0.00 26.69 v soc/core/_22934_/B (sky130_fd_sc_hd__and2_4) - 0.36 0.38 27.07 v soc/core/_22934_/X (sky130_fd_sc_hd__and2_4) - 2 0.29 soc/core/_11247_ (net) - 0.37 0.06 27.13 v soc/core/_22935_/C1 (sky130_fd_sc_hd__a221o_4) - 0.24 0.68 27.81 v soc/core/_22935_/X (sky130_fd_sc_hd__a221o_4) - 2 0.15 soc/core/_11248_ (net) - 0.24 0.02 27.83 v soc/core/_22936_/C1 (sky130_fd_sc_hd__a221o_1) - 0.09 0.39 28.21 v soc/core/_22936_/X (sky130_fd_sc_hd__a221o_1) - 1 0.01 soc/core/_11249_ (net) - 0.09 0.00 28.21 v soc/core/_22937_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.11 0.53 28.74 v soc/core/_22937_/X (sky130_fd_sc_hd__a2111o_4) - 4 0.03 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[20] (net) - 0.11 0.00 28.74 v soc/core/hold1142/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.13 0.69 29.43 v soc/core/hold1142/X (sky130_fd_sc_hd__dlygate4sd3_1) - 2 0.02 soc/core/net4009 (net) - 0.13 0.00 29.43 v soc/core/hold1143/A (sky130_fd_sc_hd__buf_4) - 0.10 0.24 29.68 v soc/core/hold1143/X (sky130_fd_sc_hd__buf_4) - 6 0.07 soc/core/net4008 (net) - 0.10 0.01 29.69 v soc/core/_29697_/A0 (sky130_fd_sc_hd__mux2_1) - 0.07 0.33 30.02 v soc/core/_29697_/X (sky130_fd_sc_hd__mux2_1) - 1 0.01 soc/core/_00742_ (net) - 0.07 0.00 30.02 v soc/core/_27064_/A (sky130_fd_sc_hd__inv_2) - 0.04 0.07 30.09 ^ soc/core/_27064_/Y (sky130_fd_sc_hd__inv_2) - 1 0.01 soc/core/_00743_ (net) - 0.04 0.00 30.09 ^ soc/core/_29696_/A0 (sky130_fd_sc_hd__mux2_8) - 0.18 0.27 30.36 ^ soc/core/_29696_/X (sky130_fd_sc_hd__mux2_8) - 8 0.10 soc/core/_00744_ (net) - 0.19 0.02 30.38 ^ soc/core/repeater795/A (sky130_fd_sc_hd__buf_12) - 0.46 0.36 30.74 ^ soc/core/repeater795/X (sky130_fd_sc_hd__buf_12) - 70 0.42 soc/core/net795 (net) - 0.60 0.21 30.95 ^ soc/core/repeater794/A (sky130_fd_sc_hd__buf_12) - 0.51 0.51 31.46 ^ soc/core/repeater794/X (sky130_fd_sc_hd__buf_12) - 78 0.45 soc/core/net794 (net) - 0.52 0.06 31.52 ^ soc/core/repeater793/A (sky130_fd_sc_hd__buf_12) - 0.50 0.43 31.95 ^ soc/core/repeater793/X (sky130_fd_sc_hd__buf_12) - 78 0.45 soc/core/net793 (net) - 0.51 0.08 32.03 ^ soc/core/repeater792/A (sky130_fd_sc_hd__buf_12) - 0.40 0.37 32.40 ^ soc/core/repeater792/X (sky130_fd_sc_hd__buf_12) - 68 0.36 soc/core/net792 (net) - 0.40 0.02 32.42 ^ soc/core/_30786_/S0 (sky130_fd_sc_hd__mux4_2) - 0.16 0.70 33.12 v soc/core/_30786_/X (sky130_fd_sc_hd__mux4_2) - 2 0.03 soc/core/_00961_ (net) - 0.16 0.00 33.12 v soc/core/_30787_/A3 (sky130_fd_sc_hd__mux4_1) - 0.09 0.54 33.66 v soc/core/_30787_/X (sky130_fd_sc_hd__mux4_1) - 1 0.00 soc/core/_00962_ (net) - 0.09 0.00 33.66 v soc/core/_29383_/A1 (sky130_fd_sc_hd__mux2_1) - 0.06 0.32 33.97 v soc/core/_29383_/X (sky130_fd_sc_hd__mux2_1) - 1 0.00 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[0]$d[20] (net) - 0.06 0.00 33.97 v soc/core/_31293_/D (sky130_fd_sc_hd__dfxtp_1) - 33.97 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_2_0_core_clk (net) - 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_2_1_core_clk (net) - 0.14 0.01 35.11 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.16 35.28 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_4_0_core_clk (net) - 0.05 0.00 35.28 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.12 35.39 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_4_1_core_clk (net) - 0.04 0.00 35.39 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.13 0.18 35.58 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_4_2_core_clk (net) - 0.13 0.01 35.58 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.20 35.78 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_8_0_core_clk (net) - 0.10 0.00 35.78 ^ soc/core/clkbuf_5_17_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.14 35.92 ^ soc/core/clkbuf_5_17_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_17_0_core_clk (net) - 0.04 0.00 35.92 ^ soc/core/clkbuf_5_17_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.77 0.60 36.52 ^ soc/core/clkbuf_5_17_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 32 0.26 soc/core/clknet_5_17_1_core_clk (net) - 0.77 0.02 36.54 ^ soc/core/clkbuf_leaf_322_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.09 0.30 36.84 ^ soc/core/clkbuf_leaf_322_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 26 0.06 soc/core/clknet_leaf_322_core_clk (net) - 0.09 0.00 36.84 ^ soc/core/_31293_/CLK (sky130_fd_sc_hd__dfxtp_1) - -0.25 36.59 clock uncertainty - 1.80 38.39 clock reconvergence pessimism - -0.11 38.28 library setup time - 38.28 data required time ------------------------------------------------------------------------------ - 38.28 data required time - -33.97 data arrival time ------------------------------------------------------------------------------ - 4.31 slack (MET) - - -Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 - (falling edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31301_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 12.50 12.50 clock clock (fall edge) - 0.00 12.50 clock source latency - 6.03 5.21 17.71 v clock (in) - 1 1.12 clock (net) - 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.00 clocking/clknet_0_ext_clk (net) - 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_1_0_core_clk (net) - 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_2_1_1_core_clk (net) - 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_0_core_clk (net) - 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_1_core_clk (net) - 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_2_2_core_clk (net) - 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_4_0_core_clk (net) - 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_8_0_core_clk (net) - 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.18 soc/core/clknet_5_8_1_core_clk (net) - 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) - 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) - 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.02 soc/core/clknet_leaf_21_core_clk (net) - 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) - 0.00 0.43 26.69 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[20] (sky130_sram_2kbyte_1rw1r_32x512_8) - 1 0.01 soc/core/sram_bus_dat_r[20] (net) - 0.00 0.00 26.69 v soc/core/_22934_/B (sky130_fd_sc_hd__and2_4) - 0.36 0.38 27.07 v soc/core/_22934_/X (sky130_fd_sc_hd__and2_4) - 2 0.29 soc/core/_11247_ (net) - 0.37 0.06 27.13 v soc/core/_22935_/C1 (sky130_fd_sc_hd__a221o_4) - 0.24 0.68 27.81 v soc/core/_22935_/X (sky130_fd_sc_hd__a221o_4) - 2 0.15 soc/core/_11248_ (net) - 0.24 0.02 27.83 v soc/core/_22936_/C1 (sky130_fd_sc_hd__a221o_1) - 0.09 0.39 28.21 v soc/core/_22936_/X (sky130_fd_sc_hd__a221o_1) - 1 0.01 soc/core/_11249_ (net) - 0.09 0.00 28.21 v soc/core/_22937_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.11 0.53 28.74 v soc/core/_22937_/X (sky130_fd_sc_hd__a2111o_4) - 4 0.03 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[20] (net) - 0.11 0.00 28.74 v soc/core/hold1142/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.13 0.69 29.43 v soc/core/hold1142/X (sky130_fd_sc_hd__dlygate4sd3_1) - 2 0.02 soc/core/net4009 (net) - 0.13 0.00 29.43 v soc/core/hold1143/A (sky130_fd_sc_hd__buf_4) - 0.10 0.24 29.68 v soc/core/hold1143/X (sky130_fd_sc_hd__buf_4) - 6 0.07 soc/core/net4008 (net) - 0.10 0.01 29.69 v soc/core/_29697_/A0 (sky130_fd_sc_hd__mux2_1) - 0.07 0.33 30.02 v soc/core/_29697_/X (sky130_fd_sc_hd__mux2_1) - 1 0.01 soc/core/_00742_ (net) - 0.07 0.00 30.02 v soc/core/_27064_/A (sky130_fd_sc_hd__inv_2) - 0.04 0.07 30.09 ^ soc/core/_27064_/Y (sky130_fd_sc_hd__inv_2) - 1 0.01 soc/core/_00743_ (net) - 0.04 0.00 30.09 ^ soc/core/_29696_/A0 (sky130_fd_sc_hd__mux2_8) - 0.18 0.27 30.36 ^ soc/core/_29696_/X (sky130_fd_sc_hd__mux2_8) - 8 0.10 soc/core/_00744_ (net) - 0.19 0.02 30.38 ^ soc/core/repeater798/A (sky130_fd_sc_hd__buf_12) - 0.47 0.40 30.78 ^ soc/core/repeater798/X (sky130_fd_sc_hd__buf_12) - 76 0.42 soc/core/net798 (net) - 0.51 0.12 30.89 ^ soc/core/repeater797/A (sky130_fd_sc_hd__buf_12) - 0.48 0.36 31.25 ^ soc/core/repeater797/X (sky130_fd_sc_hd__buf_12) - 74 0.46 soc/core/net797 (net) - 0.75 0.31 31.56 ^ soc/core/repeater796/A (sky130_fd_sc_hd__buf_12) - 0.46 0.43 31.99 ^ soc/core/repeater796/X (sky130_fd_sc_hd__buf_12) - 76 0.43 soc/core/net796 (net) - 0.54 0.15 32.14 ^ soc/core/_30864_/S0 (sky130_fd_sc_hd__mux4_2) - 0.14 0.70 32.85 v soc/core/_30864_/X (sky130_fd_sc_hd__mux4_2) - 2 0.03 soc/core/_01039_ (net) - 0.15 0.00 32.85 v soc/core/_30867_/A1 (sky130_fd_sc_hd__mux4_1) - 0.10 0.58 33.43 v soc/core/_30867_/X (sky130_fd_sc_hd__mux4_1) - 1 0.01 soc/core/_01042_ (net) - 0.10 0.00 33.43 v soc/core/_29391_/A1 (sky130_fd_sc_hd__mux2_8) - 0.13 0.42 33.84 v soc/core/_29391_/X (sky130_fd_sc_hd__mux2_8) - 2 0.10 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[0]$d[28] (net) - 0.15 0.03 33.88 v soc/core/_31301_/D (sky130_fd_sc_hd__dfxtp_2) - 33.88 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 33.99 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.12 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.05 0.00 34.12 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.25 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.05 0.00 34.25 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.38 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.05 0.00 34.39 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.11 34.49 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.03 0.00 34.49 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.24 0.24 34.74 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.25 0.03 34.76 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.17 34.94 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_0_0_core_clk (net) - 0.03 0.00 34.94 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.52 0.40 35.34 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.18 soc/core/clknet_2_0_1_core_clk (net) - 0.54 0.07 35.41 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.23 35.63 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_3_1_0_core_clk (net) - 0.04 0.00 35.63 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.11 35.74 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_3_1_1_core_clk (net) - 0.03 0.00 35.74 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.14 35.88 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.02 soc/core/clknet_3_1_2_core_clk (net) - 0.08 0.00 35.89 ^ soc/core/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.06 0.15 36.04 ^ soc/core/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 2 0.02 soc/core/clknet_4_2_0_core_clk (net) - 0.06 0.00 36.04 ^ soc/core/clkbuf_5_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.16 36.20 ^ soc/core/clkbuf_5_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 2 0.02 soc/core/clknet_5_4_0_core_clk (net) - 0.08 0.00 36.20 ^ soc/core/clkbuf_5_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.45 0.41 36.61 ^ soc/core/clkbuf_5_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 18 0.15 soc/core/clknet_5_4_1_core_clk (net) - 0.45 0.00 36.62 ^ soc/core/clkbuf_leaf_397_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.07 0.24 36.86 ^ soc/core/clkbuf_leaf_397_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 16 0.04 soc/core/clknet_leaf_397_core_clk (net) - 0.07 0.00 36.86 ^ soc/core/_31301_/CLK (sky130_fd_sc_hd__dfxtp_2) - -0.25 36.61 clock uncertainty - 1.87 38.48 clock reconvergence pessimism - -0.15 38.33 library setup time - 38.33 data required time ------------------------------------------------------------------------------ - 38.33 data required time - -33.88 data arrival time ------------------------------------------------------------------------------ - 4.45 slack (MET) - - -Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 - (falling edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31651_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 12.50 12.50 clock clock (fall edge) - 0.00 12.50 clock source latency - 6.03 5.21 17.71 v clock (in) - 1 1.12 clock (net) - 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.00 clocking/clknet_0_ext_clk (net) - 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_1_0_core_clk (net) - 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_2_1_1_core_clk (net) - 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_0_core_clk (net) - 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_1_core_clk (net) - 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_2_2_core_clk (net) - 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_4_0_core_clk (net) - 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_8_0_core_clk (net) - 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.18 soc/core/clknet_5_8_1_core_clk (net) - 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) - 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) - 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.02 soc/core/clknet_leaf_21_core_clk (net) - 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) - 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) - 2 0.03 soc/core/sram_bus_dat_r[15] (net) - 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) - 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.05 soc/core/net2501 (net) - 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2500 (net) - 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) - 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2499 (net) - 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2498 (net) - 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2497 (net) - 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) - 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) - 1 0.00 soc/core/_11276_ (net) - 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) - 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) - 1 0.01 soc/core/_11277_ (net) - 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) - 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) - 1 0.00 soc/core/_11278_ (net) - 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) - 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) - 2 0.06 soc/core/net4058 (net) - 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) - 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) - 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) - 1 0.01 soc/core/net4057 (net) - 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) - 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) - 8 0.07 soc/core/net4056 (net) - 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) - 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) - 1 0.02 soc/core/_01130_ (net) - 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) - 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) - 1 0.01 soc/core/_01131_ (net) - 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) - 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) - 8 0.09 soc/core/_01132_ (net) - 0.17 0.01 31.22 ^ soc/core/repeater817/A (sky130_fd_sc_hd__buf_12) - 0.47 0.31 31.53 ^ soc/core/repeater817/X (sky130_fd_sc_hd__buf_12) - 78 0.44 soc/core/net817 (net) - 0.67 0.26 31.79 ^ soc/core/repeater815/A (sky130_fd_sc_hd__buf_12) - 0.46 0.36 32.15 ^ soc/core/repeater815/X (sky130_fd_sc_hd__buf_12) - 76 0.44 soc/core/net815 (net) - 0.46 0.02 32.17 ^ soc/core/_30274_/S0 (sky130_fd_sc_hd__mux4_1) - 0.12 0.70 32.88 v soc/core/_30274_/X (sky130_fd_sc_hd__mux4_1) - 1 0.01 soc/core/_01188_ (net) - 0.12 0.00 32.88 v soc/core/_30276_/A2 (sky130_fd_sc_hd__mux4_2) - 0.17 0.64 33.52 v soc/core/_30276_/X (sky130_fd_sc_hd__mux4_2) - 2 0.03 soc/core/_01190_ (net) - 0.17 0.00 33.52 v soc/core/_29054_/A1 (sky130_fd_sc_hd__mux2_4) - 0.10 0.39 33.91 v soc/core/_29054_/X (sky130_fd_sc_hd__mux2_4) - 2 0.04 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[4] (net) - 0.10 0.01 33.92 v soc/core/_31651_/D (sky130_fd_sc_hd__dfxtp_1) - 33.92 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_2_0_core_clk (net) - 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_2_1_core_clk (net) - 0.14 0.01 35.11 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.16 35.28 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_4_0_core_clk (net) - 0.05 0.00 35.28 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.12 35.39 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_4_1_core_clk (net) - 0.04 0.00 35.39 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.13 0.18 35.58 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_4_2_core_clk (net) - 0.13 0.01 35.58 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.20 35.78 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_8_0_core_clk (net) - 0.10 0.00 35.78 ^ soc/core/clkbuf_5_16_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.14 35.92 ^ soc/core/clkbuf_5_16_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_16_0_core_clk (net) - 0.04 0.00 35.92 ^ soc/core/clkbuf_5_16_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.93 0.71 36.63 ^ soc/core/clkbuf_5_16_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 38 0.32 soc/core/clknet_5_16_1_core_clk (net) - 0.93 0.01 36.64 ^ soc/core/clkbuf_leaf_350_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.10 0.31 36.95 ^ soc/core/clkbuf_leaf_350_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 24 0.06 soc/core/clknet_leaf_350_core_clk (net) - 0.10 0.00 36.95 ^ soc/core/_31651_/CLK (sky130_fd_sc_hd__dfxtp_1) - -0.25 36.70 clock uncertainty - 1.80 38.50 clock reconvergence pessimism - -0.12 38.37 library setup time - 38.37 data required time ------------------------------------------------------------------------------ - 38.37 data required time - -33.92 data arrival time ------------------------------------------------------------------------------ - 4.45 slack (MET) - - -Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 - (falling edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31289_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 12.50 12.50 clock clock (fall edge) - 0.00 12.50 clock source latency - 6.03 5.21 17.71 v clock (in) - 1 1.12 clock (net) - 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.00 clocking/clknet_0_ext_clk (net) - 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_1_0_core_clk (net) - 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_2_1_1_core_clk (net) - 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_0_core_clk (net) - 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_1_core_clk (net) - 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_2_2_core_clk (net) - 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_4_0_core_clk (net) - 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_8_0_core_clk (net) - 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.18 soc/core/clknet_5_8_1_core_clk (net) - 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) - 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) - 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.02 soc/core/clknet_leaf_21_core_clk (net) - 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) - 0.00 0.43 26.69 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[20] (sky130_sram_2kbyte_1rw1r_32x512_8) - 1 0.01 soc/core/sram_bus_dat_r[20] (net) - 0.00 0.00 26.69 v soc/core/_22934_/B (sky130_fd_sc_hd__and2_4) - 0.36 0.38 27.07 v soc/core/_22934_/X (sky130_fd_sc_hd__and2_4) - 2 0.29 soc/core/_11247_ (net) - 0.37 0.06 27.13 v soc/core/_22935_/C1 (sky130_fd_sc_hd__a221o_4) - 0.24 0.68 27.81 v soc/core/_22935_/X (sky130_fd_sc_hd__a221o_4) - 2 0.15 soc/core/_11248_ (net) - 0.24 0.02 27.83 v soc/core/_22936_/C1 (sky130_fd_sc_hd__a221o_1) - 0.09 0.39 28.21 v soc/core/_22936_/X (sky130_fd_sc_hd__a221o_1) - 1 0.01 soc/core/_11249_ (net) - 0.09 0.00 28.21 v soc/core/_22937_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.11 0.53 28.74 v soc/core/_22937_/X (sky130_fd_sc_hd__a2111o_4) - 4 0.03 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[20] (net) - 0.11 0.00 28.74 v soc/core/hold1142/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.13 0.69 29.43 v soc/core/hold1142/X (sky130_fd_sc_hd__dlygate4sd3_1) - 2 0.02 soc/core/net4009 (net) - 0.13 0.00 29.43 v soc/core/hold1143/A (sky130_fd_sc_hd__buf_4) - 0.10 0.24 29.68 v soc/core/hold1143/X (sky130_fd_sc_hd__buf_4) - 6 0.07 soc/core/net4008 (net) - 0.10 0.01 29.69 v soc/core/_29697_/A0 (sky130_fd_sc_hd__mux2_1) - 0.07 0.33 30.02 v soc/core/_29697_/X (sky130_fd_sc_hd__mux2_1) - 1 0.01 soc/core/_00742_ (net) - 0.07 0.00 30.02 v soc/core/_27064_/A (sky130_fd_sc_hd__inv_2) - 0.04 0.07 30.09 ^ soc/core/_27064_/Y (sky130_fd_sc_hd__inv_2) - 1 0.01 soc/core/_00743_ (net) - 0.04 0.00 30.09 ^ soc/core/_29696_/A0 (sky130_fd_sc_hd__mux2_8) - 0.18 0.27 30.36 ^ soc/core/_29696_/X (sky130_fd_sc_hd__mux2_8) - 8 0.10 soc/core/_00744_ (net) - 0.19 0.02 30.38 ^ soc/core/repeater795/A (sky130_fd_sc_hd__buf_12) - 0.46 0.36 30.74 ^ soc/core/repeater795/X (sky130_fd_sc_hd__buf_12) - 70 0.42 soc/core/net795 (net) - 0.60 0.21 30.95 ^ soc/core/repeater794/A (sky130_fd_sc_hd__buf_12) - 0.51 0.51 31.46 ^ soc/core/repeater794/X (sky130_fd_sc_hd__buf_12) - 78 0.45 soc/core/net794 (net) - 0.52 0.06 31.52 ^ soc/core/repeater793/A (sky130_fd_sc_hd__buf_12) - 0.50 0.43 31.95 ^ soc/core/repeater793/X (sky130_fd_sc_hd__buf_12) - 78 0.45 soc/core/net793 (net) - 0.61 0.19 32.14 ^ soc/core/_30746_/S0 (sky130_fd_sc_hd__mux4_2) - 0.14 0.70 32.84 v soc/core/_30746_/X (sky130_fd_sc_hd__mux4_2) - 2 0.02 soc/core/_00921_ (net) - 0.14 0.00 32.84 v soc/core/_30747_/A3 (sky130_fd_sc_hd__mux4_2) - 0.14 0.61 33.45 v soc/core/_30747_/X (sky130_fd_sc_hd__mux4_2) - 2 0.02 soc/core/_00922_ (net) - 0.14 0.00 33.45 v soc/core/_29379_/A1 (sky130_fd_sc_hd__mux2_1) - 0.07 0.34 33.80 v soc/core/_29379_/X (sky130_fd_sc_hd__mux2_1) - 1 0.00 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[0]$d[16] (net) - 0.07 0.00 33.80 v soc/core/_31289_/D (sky130_fd_sc_hd__dfxtp_1) - 33.80 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_2_0_core_clk (net) - 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_2_1_core_clk (net) - 0.14 0.01 35.11 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.16 35.28 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_5_0_core_clk (net) - 0.05 0.00 35.28 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.12 35.40 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_5_1_core_clk (net) - 0.04 0.00 35.40 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.11 0.17 35.57 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_3_5_2_core_clk (net) - 0.11 0.00 35.58 ^ soc/core/clkbuf_4_11_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.19 35.77 ^ soc/core/clkbuf_4_11_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_11_0_core_clk (net) - 0.10 0.00 35.77 ^ soc/core/clkbuf_5_23_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.14 35.91 ^ soc/core/clkbuf_5_23_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_23_0_core_clk (net) - 0.04 0.00 35.91 ^ soc/core/clkbuf_5_23_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.79 0.62 36.53 ^ soc/core/clkbuf_5_23_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 34 0.27 soc/core/clknet_5_23_1_core_clk (net) - 0.79 0.01 36.54 ^ soc/core/clkbuf_leaf_280_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.08 0.29 36.83 ^ soc/core/clkbuf_leaf_280_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 13 0.05 soc/core/clknet_leaf_280_core_clk (net) - 0.08 0.00 36.83 ^ soc/core/_31289_/CLK (sky130_fd_sc_hd__dfxtp_1) - -0.25 36.58 clock uncertainty - 1.80 38.37 clock reconvergence pessimism - -0.11 38.26 library setup time - 38.26 data required time ------------------------------------------------------------------------------ - 38.26 data required time - -33.80 data arrival time ------------------------------------------------------------------------------ - 4.46 slack (MET) - - -Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 - (falling edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31671_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 12.50 12.50 clock clock (fall edge) - 0.00 12.50 clock source latency - 6.03 5.21 17.71 v clock (in) - 1 1.12 clock (net) - 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.00 clocking/clknet_0_ext_clk (net) - 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_1_0_core_clk (net) - 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_2_1_1_core_clk (net) - 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_0_core_clk (net) - 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_1_core_clk (net) - 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_2_2_core_clk (net) - 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_4_0_core_clk (net) - 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_8_0_core_clk (net) - 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.18 soc/core/clknet_5_8_1_core_clk (net) - 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) - 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) - 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.02 soc/core/clknet_leaf_21_core_clk (net) - 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) - 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) - 2 0.03 soc/core/sram_bus_dat_r[15] (net) - 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) - 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.05 soc/core/net2501 (net) - 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2500 (net) - 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) - 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2499 (net) - 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2498 (net) - 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2497 (net) - 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) - 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) - 1 0.00 soc/core/_11276_ (net) - 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) - 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) - 1 0.01 soc/core/_11277_ (net) - 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) - 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) - 1 0.00 soc/core/_11278_ (net) - 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) - 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) - 2 0.06 soc/core/net4058 (net) - 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) - 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) - 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) - 1 0.01 soc/core/net4057 (net) - 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) - 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) - 8 0.07 soc/core/net4056 (net) - 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) - 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) - 1 0.02 soc/core/_01130_ (net) - 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) - 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) - 1 0.01 soc/core/_01131_ (net) - 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) - 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) - 8 0.09 soc/core/_01132_ (net) - 0.17 0.01 31.22 ^ soc/core/repeater817/A (sky130_fd_sc_hd__buf_12) - 0.47 0.31 31.53 ^ soc/core/repeater817/X (sky130_fd_sc_hd__buf_12) - 78 0.44 soc/core/net817 (net) - 0.67 0.26 31.79 ^ soc/core/repeater815/A (sky130_fd_sc_hd__buf_12) - 0.46 0.36 32.15 ^ soc/core/repeater815/X (sky130_fd_sc_hd__buf_12) - 76 0.44 soc/core/net815 (net) - 0.57 0.17 32.32 ^ soc/core/_30469_/S0 (sky130_fd_sc_hd__mux4_1) - 0.13 0.73 33.05 v soc/core/_30469_/X (sky130_fd_sc_hd__mux4_1) - 1 0.01 soc/core/_01383_ (net) - 0.13 0.00 33.05 v soc/core/_30471_/A2 (sky130_fd_sc_hd__mux4_2) - 0.12 0.58 33.63 v soc/core/_30471_/X (sky130_fd_sc_hd__mux4_2) - 1 0.02 soc/core/_01385_ (net) - 0.12 0.00 33.63 v soc/core/_29074_/A0 (sky130_fd_sc_hd__mux2_1) - 0.06 0.31 33.95 v soc/core/_29074_/X (sky130_fd_sc_hd__mux2_1) - 1 0.00 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[24] (net) - 0.06 0.00 33.95 v soc/core/_31671_/D (sky130_fd_sc_hd__dfxtp_4) - 33.95 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 33.99 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.12 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.05 0.00 34.12 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.25 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.05 0.00 34.25 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.38 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.05 0.00 34.39 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.11 34.49 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.03 0.00 34.49 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.24 0.24 34.74 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.25 0.03 34.76 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.17 34.94 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_0_0_core_clk (net) - 0.03 0.00 34.94 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.52 0.40 35.34 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.18 soc/core/clknet_2_0_1_core_clk (net) - 0.54 0.07 35.41 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.23 35.63 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_3_1_0_core_clk (net) - 0.04 0.00 35.63 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.11 35.74 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_3_1_1_core_clk (net) - 0.03 0.00 35.74 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.14 35.88 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.02 soc/core/clknet_3_1_2_core_clk (net) - 0.08 0.00 35.89 ^ soc/core/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.06 0.15 36.04 ^ soc/core/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 2 0.02 soc/core/clknet_4_2_0_core_clk (net) - 0.06 0.00 36.04 ^ soc/core/clkbuf_5_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.16 36.20 ^ soc/core/clkbuf_5_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 2 0.02 soc/core/clknet_5_4_0_core_clk (net) - 0.08 0.00 36.20 ^ soc/core/clkbuf_5_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.45 0.41 36.61 ^ soc/core/clkbuf_5_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 18 0.15 soc/core/clknet_5_4_1_core_clk (net) - 0.45 0.01 36.62 ^ soc/core/clkbuf_leaf_396_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.10 0.26 36.89 ^ soc/core/clkbuf_leaf_396_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 28 0.08 soc/core/clknet_leaf_396_core_clk (net) - 0.10 0.00 36.89 ^ soc/core/_31671_/CLK (sky130_fd_sc_hd__dfxtp_4) - -0.25 36.64 clock uncertainty - 1.87 38.51 clock reconvergence pessimism - -0.10 38.41 library setup time - 38.41 data required time ------------------------------------------------------------------------------ - 38.41 data required time - -33.95 data arrival time ------------------------------------------------------------------------------ - 4.46 slack (MET) - - -Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 - (falling edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31292_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 12.50 12.50 clock clock (fall edge) - 0.00 12.50 clock source latency - 6.03 5.21 17.71 v clock (in) - 1 1.12 clock (net) - 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.00 clocking/clknet_0_ext_clk (net) - 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_1_0_core_clk (net) - 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_2_1_1_core_clk (net) - 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_0_core_clk (net) - 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_1_core_clk (net) - 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_2_2_core_clk (net) - 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_4_0_core_clk (net) - 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_8_0_core_clk (net) - 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.18 soc/core/clknet_5_8_1_core_clk (net) - 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) - 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) - 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.02 soc/core/clknet_leaf_21_core_clk (net) - 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) - 0.00 0.43 26.69 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[20] (sky130_sram_2kbyte_1rw1r_32x512_8) - 1 0.01 soc/core/sram_bus_dat_r[20] (net) - 0.00 0.00 26.69 v soc/core/_22934_/B (sky130_fd_sc_hd__and2_4) - 0.36 0.38 27.07 v soc/core/_22934_/X (sky130_fd_sc_hd__and2_4) - 2 0.29 soc/core/_11247_ (net) - 0.37 0.06 27.13 v soc/core/_22935_/C1 (sky130_fd_sc_hd__a221o_4) - 0.24 0.68 27.81 v soc/core/_22935_/X (sky130_fd_sc_hd__a221o_4) - 2 0.15 soc/core/_11248_ (net) - 0.24 0.02 27.83 v soc/core/_22936_/C1 (sky130_fd_sc_hd__a221o_1) - 0.09 0.39 28.21 v soc/core/_22936_/X (sky130_fd_sc_hd__a221o_1) - 1 0.01 soc/core/_11249_ (net) - 0.09 0.00 28.21 v soc/core/_22937_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.11 0.53 28.74 v soc/core/_22937_/X (sky130_fd_sc_hd__a2111o_4) - 4 0.03 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[20] (net) - 0.11 0.00 28.74 v soc/core/hold1142/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.13 0.69 29.43 v soc/core/hold1142/X (sky130_fd_sc_hd__dlygate4sd3_1) - 2 0.02 soc/core/net4009 (net) - 0.13 0.00 29.43 v soc/core/hold1143/A (sky130_fd_sc_hd__buf_4) - 0.10 0.24 29.68 v soc/core/hold1143/X (sky130_fd_sc_hd__buf_4) - 6 0.07 soc/core/net4008 (net) - 0.10 0.01 29.69 v soc/core/_29697_/A0 (sky130_fd_sc_hd__mux2_1) - 0.07 0.33 30.02 v soc/core/_29697_/X (sky130_fd_sc_hd__mux2_1) - 1 0.01 soc/core/_00742_ (net) - 0.07 0.00 30.02 v soc/core/_27064_/A (sky130_fd_sc_hd__inv_2) - 0.04 0.07 30.09 ^ soc/core/_27064_/Y (sky130_fd_sc_hd__inv_2) - 1 0.01 soc/core/_00743_ (net) - 0.04 0.00 30.09 ^ soc/core/_29696_/A0 (sky130_fd_sc_hd__mux2_8) - 0.18 0.27 30.36 ^ soc/core/_29696_/X (sky130_fd_sc_hd__mux2_8) - 8 0.10 soc/core/_00744_ (net) - 0.19 0.02 30.38 ^ soc/core/repeater795/A (sky130_fd_sc_hd__buf_12) - 0.46 0.36 30.74 ^ soc/core/repeater795/X (sky130_fd_sc_hd__buf_12) - 70 0.42 soc/core/net795 (net) - 0.60 0.21 30.95 ^ soc/core/repeater794/A (sky130_fd_sc_hd__buf_12) - 0.51 0.51 31.46 ^ soc/core/repeater794/X (sky130_fd_sc_hd__buf_12) - 78 0.45 soc/core/net794 (net) - 0.52 0.06 31.52 ^ soc/core/repeater793/A (sky130_fd_sc_hd__buf_12) - 0.50 0.43 31.95 ^ soc/core/repeater793/X (sky130_fd_sc_hd__buf_12) - 78 0.45 soc/core/net793 (net) - 0.61 0.19 32.14 ^ soc/core/_30776_/S0 (sky130_fd_sc_hd__mux4_1) - 0.11 0.71 32.85 v soc/core/_30776_/X (sky130_fd_sc_hd__mux4_1) - 1 0.01 soc/core/_00951_ (net) - 0.11 0.00 32.85 v soc/core/_30777_/A3 (sky130_fd_sc_hd__mux4_2) - 0.14 0.61 33.45 v soc/core/_30777_/X (sky130_fd_sc_hd__mux4_2) - 2 0.02 soc/core/_00952_ (net) - 0.14 0.00 33.46 v soc/core/_29382_/A1 (sky130_fd_sc_hd__mux2_1) - 0.06 0.34 33.79 v soc/core/_29382_/X (sky130_fd_sc_hd__mux2_1) - 1 0.00 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[0]$d[19] (net) - 0.06 0.00 33.79 v soc/core/_31292_/D (sky130_fd_sc_hd__dfxtp_1) - 33.79 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_2_0_core_clk (net) - 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_2_1_core_clk (net) - 0.14 0.01 35.11 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.16 35.28 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_5_0_core_clk (net) - 0.05 0.00 35.28 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.12 35.40 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_5_1_core_clk (net) - 0.04 0.00 35.40 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.11 0.17 35.57 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_3_5_2_core_clk (net) - 0.11 0.00 35.58 ^ soc/core/clkbuf_4_11_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.19 35.77 ^ soc/core/clkbuf_4_11_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_11_0_core_clk (net) - 0.10 0.00 35.77 ^ soc/core/clkbuf_5_23_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.14 35.91 ^ soc/core/clkbuf_5_23_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_23_0_core_clk (net) - 0.04 0.00 35.91 ^ soc/core/clkbuf_5_23_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.79 0.62 36.53 ^ soc/core/clkbuf_5_23_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 34 0.27 soc/core/clknet_5_23_1_core_clk (net) - 0.79 0.01 36.54 ^ soc/core/clkbuf_leaf_280_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.08 0.29 36.83 ^ soc/core/clkbuf_leaf_280_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 13 0.05 soc/core/clknet_leaf_280_core_clk (net) - 0.08 0.00 36.83 ^ soc/core/_31292_/CLK (sky130_fd_sc_hd__dfxtp_1) - -0.25 36.58 clock uncertainty - 1.80 38.37 clock reconvergence pessimism - -0.11 38.26 library setup time - 38.26 data required time ------------------------------------------------------------------------------ - 38.26 data required time - -33.79 data arrival time ------------------------------------------------------------------------------ - 4.47 slack (MET) - - -Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 - (falling edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31295_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 12.50 12.50 clock clock (fall edge) - 0.00 12.50 clock source latency - 6.03 5.21 17.71 v clock (in) - 1 1.12 clock (net) - 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.00 clocking/clknet_0_ext_clk (net) - 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_1_0_core_clk (net) - 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_2_1_1_core_clk (net) - 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_0_core_clk (net) - 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_1_core_clk (net) - 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_2_2_core_clk (net) - 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_4_0_core_clk (net) - 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_8_0_core_clk (net) - 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.18 soc/core/clknet_5_8_1_core_clk (net) - 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) - 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) - 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.02 soc/core/clknet_leaf_21_core_clk (net) - 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) - 0.00 0.43 26.69 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[20] (sky130_sram_2kbyte_1rw1r_32x512_8) - 1 0.01 soc/core/sram_bus_dat_r[20] (net) - 0.00 0.00 26.69 v soc/core/_22934_/B (sky130_fd_sc_hd__and2_4) - 0.36 0.38 27.07 v soc/core/_22934_/X (sky130_fd_sc_hd__and2_4) - 2 0.29 soc/core/_11247_ (net) - 0.37 0.06 27.13 v soc/core/_22935_/C1 (sky130_fd_sc_hd__a221o_4) - 0.24 0.68 27.81 v soc/core/_22935_/X (sky130_fd_sc_hd__a221o_4) - 2 0.15 soc/core/_11248_ (net) - 0.24 0.02 27.83 v soc/core/_22936_/C1 (sky130_fd_sc_hd__a221o_1) - 0.09 0.39 28.21 v soc/core/_22936_/X (sky130_fd_sc_hd__a221o_1) - 1 0.01 soc/core/_11249_ (net) - 0.09 0.00 28.21 v soc/core/_22937_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.11 0.53 28.74 v soc/core/_22937_/X (sky130_fd_sc_hd__a2111o_4) - 4 0.03 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[20] (net) - 0.11 0.00 28.74 v soc/core/hold1142/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.13 0.69 29.43 v soc/core/hold1142/X (sky130_fd_sc_hd__dlygate4sd3_1) - 2 0.02 soc/core/net4009 (net) - 0.13 0.00 29.43 v soc/core/hold1143/A (sky130_fd_sc_hd__buf_4) - 0.10 0.24 29.68 v soc/core/hold1143/X (sky130_fd_sc_hd__buf_4) - 6 0.07 soc/core/net4008 (net) - 0.10 0.01 29.69 v soc/core/_29697_/A0 (sky130_fd_sc_hd__mux2_1) - 0.07 0.33 30.02 v soc/core/_29697_/X (sky130_fd_sc_hd__mux2_1) - 1 0.01 soc/core/_00742_ (net) - 0.07 0.00 30.02 v soc/core/_27064_/A (sky130_fd_sc_hd__inv_2) - 0.04 0.07 30.09 ^ soc/core/_27064_/Y (sky130_fd_sc_hd__inv_2) - 1 0.01 soc/core/_00743_ (net) - 0.04 0.00 30.09 ^ soc/core/_29696_/A0 (sky130_fd_sc_hd__mux2_8) - 0.18 0.27 30.36 ^ soc/core/_29696_/X (sky130_fd_sc_hd__mux2_8) - 8 0.10 soc/core/_00744_ (net) - 0.19 0.02 30.38 ^ soc/core/repeater795/A (sky130_fd_sc_hd__buf_12) - 0.46 0.36 30.74 ^ soc/core/repeater795/X (sky130_fd_sc_hd__buf_12) - 70 0.42 soc/core/net795 (net) - 0.60 0.21 30.95 ^ soc/core/repeater794/A (sky130_fd_sc_hd__buf_12) - 0.51 0.51 31.46 ^ soc/core/repeater794/X (sky130_fd_sc_hd__buf_12) - 78 0.45 soc/core/net794 (net) - 0.52 0.06 31.52 ^ soc/core/repeater793/A (sky130_fd_sc_hd__buf_12) - 0.50 0.43 31.95 ^ soc/core/repeater793/X (sky130_fd_sc_hd__buf_12) - 78 0.45 soc/core/net793 (net) - 0.55 0.12 32.07 ^ soc/core/_30806_/S0 (sky130_fd_sc_hd__mux4_2) - 0.15 0.71 32.79 v soc/core/_30806_/X (sky130_fd_sc_hd__mux4_2) - 2 0.03 soc/core/_00981_ (net) - 0.15 0.00 32.79 v soc/core/_30807_/A3 (sky130_fd_sc_hd__mux4_1) - 0.09 0.55 33.34 v soc/core/_30807_/X (sky130_fd_sc_hd__mux4_1) - 1 0.00 soc/core/_00982_ (net) - 0.09 0.00 33.34 v soc/core/_29385_/A1 (sky130_fd_sc_hd__mux2_1) - 0.08 0.34 33.67 v soc/core/_29385_/X (sky130_fd_sc_hd__mux2_1) - 1 0.01 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[0]$d[22] (net) - 0.08 0.00 33.67 v soc/core/_31295_/D (sky130_fd_sc_hd__dfxtp_1) - 33.67 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_2_0_core_clk (net) - 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_2_1_core_clk (net) - 0.14 0.01 35.11 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.16 35.28 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_5_0_core_clk (net) - 0.05 0.00 35.28 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.12 35.40 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_5_1_core_clk (net) - 0.04 0.00 35.40 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.11 0.17 35.57 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_3_5_2_core_clk (net) - 0.11 0.00 35.58 ^ soc/core/clkbuf_4_11_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.19 35.77 ^ soc/core/clkbuf_4_11_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_11_0_core_clk (net) - 0.10 0.00 35.77 ^ soc/core/clkbuf_5_22_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.14 35.91 ^ soc/core/clkbuf_5_22_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_22_0_core_clk (net) - 0.04 0.00 35.91 ^ soc/core/clkbuf_5_22_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.70 0.54 36.45 ^ soc/core/clkbuf_5_22_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.24 soc/core/clknet_5_22_1_core_clk (net) - 0.70 0.03 36.48 ^ soc/core/clkbuf_leaf_285_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.06 0.26 36.74 ^ soc/core/clkbuf_leaf_285_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 5 0.02 soc/core/clknet_leaf_285_core_clk (net) - 0.06 0.00 36.74 ^ soc/core/_31295_/CLK (sky130_fd_sc_hd__dfxtp_1) - -0.25 36.49 clock uncertainty - 1.80 38.29 clock reconvergence pessimism - -0.12 38.17 library setup time - 38.17 data required time ------------------------------------------------------------------------------ - 38.17 data required time - -33.67 data arrival time ------------------------------------------------------------------------------ - 4.49 slack (MET) - - -Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 - (falling edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31302_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 12.50 12.50 clock clock (fall edge) - 0.00 12.50 clock source latency - 6.03 5.21 17.71 v clock (in) - 1 1.12 clock (net) - 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.00 clocking/clknet_0_ext_clk (net) - 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_1_0_core_clk (net) - 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_2_1_1_core_clk (net) - 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_0_core_clk (net) - 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_1_core_clk (net) - 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_2_2_core_clk (net) - 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_4_0_core_clk (net) - 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_8_0_core_clk (net) - 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.18 soc/core/clknet_5_8_1_core_clk (net) - 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) - 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) - 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.02 soc/core/clknet_leaf_21_core_clk (net) - 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) - 0.00 0.43 26.69 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[20] (sky130_sram_2kbyte_1rw1r_32x512_8) - 1 0.01 soc/core/sram_bus_dat_r[20] (net) - 0.00 0.00 26.69 v soc/core/_22934_/B (sky130_fd_sc_hd__and2_4) - 0.36 0.38 27.07 v soc/core/_22934_/X (sky130_fd_sc_hd__and2_4) - 2 0.29 soc/core/_11247_ (net) - 0.37 0.06 27.13 v soc/core/_22935_/C1 (sky130_fd_sc_hd__a221o_4) - 0.24 0.68 27.81 v soc/core/_22935_/X (sky130_fd_sc_hd__a221o_4) - 2 0.15 soc/core/_11248_ (net) - 0.24 0.02 27.83 v soc/core/_22936_/C1 (sky130_fd_sc_hd__a221o_1) - 0.09 0.39 28.21 v soc/core/_22936_/X (sky130_fd_sc_hd__a221o_1) - 1 0.01 soc/core/_11249_ (net) - 0.09 0.00 28.21 v soc/core/_22937_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.11 0.53 28.74 v soc/core/_22937_/X (sky130_fd_sc_hd__a2111o_4) - 4 0.03 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[20] (net) - 0.11 0.00 28.74 v soc/core/hold1142/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.13 0.69 29.43 v soc/core/hold1142/X (sky130_fd_sc_hd__dlygate4sd3_1) - 2 0.02 soc/core/net4009 (net) - 0.13 0.00 29.43 v soc/core/hold1143/A (sky130_fd_sc_hd__buf_4) - 0.10 0.24 29.68 v soc/core/hold1143/X (sky130_fd_sc_hd__buf_4) - 6 0.07 soc/core/net4008 (net) - 0.10 0.01 29.69 v soc/core/_29697_/A0 (sky130_fd_sc_hd__mux2_1) - 0.07 0.33 30.02 v soc/core/_29697_/X (sky130_fd_sc_hd__mux2_1) - 1 0.01 soc/core/_00742_ (net) - 0.07 0.00 30.02 v soc/core/_27064_/A (sky130_fd_sc_hd__inv_2) - 0.04 0.07 30.09 ^ soc/core/_27064_/Y (sky130_fd_sc_hd__inv_2) - 1 0.01 soc/core/_00743_ (net) - 0.04 0.00 30.09 ^ soc/core/_29696_/A0 (sky130_fd_sc_hd__mux2_8) - 0.18 0.27 30.36 ^ soc/core/_29696_/X (sky130_fd_sc_hd__mux2_8) - 8 0.10 soc/core/_00744_ (net) - 0.19 0.02 30.38 ^ soc/core/repeater798/A (sky130_fd_sc_hd__buf_12) - 0.47 0.40 30.78 ^ soc/core/repeater798/X (sky130_fd_sc_hd__buf_12) - 76 0.42 soc/core/net798 (net) - 0.51 0.12 30.89 ^ soc/core/repeater797/A (sky130_fd_sc_hd__buf_12) - 0.48 0.36 31.25 ^ soc/core/repeater797/X (sky130_fd_sc_hd__buf_12) - 74 0.46 soc/core/net797 (net) - 0.75 0.31 31.56 ^ soc/core/repeater796/A (sky130_fd_sc_hd__buf_12) - 0.46 0.43 31.99 ^ soc/core/repeater796/X (sky130_fd_sc_hd__buf_12) - 76 0.43 soc/core/net796 (net) - 0.61 0.21 32.21 ^ soc/core/_30869_/S0 (sky130_fd_sc_hd__mux4_1) - 0.11 0.71 32.91 v soc/core/_30869_/X (sky130_fd_sc_hd__mux4_1) - 1 0.01 soc/core/_01044_ (net) - 0.11 0.00 32.91 v soc/core/_30872_/A1 (sky130_fd_sc_hd__mux4_2) - 0.17 0.62 33.53 v soc/core/_30872_/X (sky130_fd_sc_hd__mux4_2) - 2 0.03 soc/core/_01047_ (net) - 0.17 0.00 33.53 v soc/core/_29392_/A0 (sky130_fd_sc_hd__mux2_4) - 0.13 0.42 33.96 v soc/core/_29392_/X (sky130_fd_sc_hd__mux2_4) - 2 0.06 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[0]$d[29] (net) - 0.13 0.01 33.97 v soc/core/_31302_/D (sky130_fd_sc_hd__dfxtp_4) - 33.97 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 33.99 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.12 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.05 0.00 34.12 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.25 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.05 0.00 34.25 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.38 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.05 0.00 34.39 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.11 34.49 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.03 0.00 34.49 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.24 0.24 34.74 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.25 0.03 34.76 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.17 34.94 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_0_0_core_clk (net) - 0.03 0.00 34.94 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.52 0.40 35.34 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.18 soc/core/clknet_2_0_1_core_clk (net) - 0.54 0.07 35.41 ^ soc/core/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.06 0.26 35.67 ^ soc/core/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_0_0_core_clk (net) - 0.06 0.00 35.67 ^ soc/core/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.13 35.80 ^ soc/core/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_0_1_core_clk (net) - 0.04 0.00 35.80 ^ soc/core/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.14 35.94 ^ soc/core/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 2 0.02 soc/core/clknet_3_0_2_core_clk (net) - 0.07 0.00 35.94 ^ soc/core/clkbuf_4_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.06 0.15 36.09 ^ soc/core/clkbuf_4_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 2 0.02 soc/core/clknet_4_1_0_core_clk (net) - 0.06 0.00 36.09 ^ soc/core/clkbuf_5_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.12 36.21 ^ soc/core/clkbuf_5_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_2_0_core_clk (net) - 0.04 0.00 36.22 ^ soc/core/clkbuf_5_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.31 36.52 ^ soc/core/clkbuf_5_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 14 0.12 soc/core/clknet_5_2_1_core_clk (net) - 0.36 0.03 36.56 ^ soc/core/clkbuf_opt_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.20 36.75 ^ soc/core/clkbuf_opt_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.01 soc/core/clknet_opt_8_0_core_clk (net) - 0.04 0.00 36.75 ^ soc/core/clkbuf_opt_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.12 36.87 ^ soc/core/clkbuf_opt_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_8_1_core_clk (net) - 0.04 0.00 36.88 ^ soc/core/clkbuf_leaf_8_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.06 0.13 37.01 ^ soc/core/clkbuf_leaf_8_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 10 0.04 soc/core/clknet_leaf_8_core_clk (net) - 0.06 0.00 37.01 ^ soc/core/_31302_/CLK (sky130_fd_sc_hd__dfxtp_4) - -0.25 36.76 clock uncertainty - 1.87 38.63 clock reconvergence pessimism - -0.15 38.49 library setup time - 38.49 data required time ------------------------------------------------------------------------------ - 38.49 data required time - -33.97 data arrival time ------------------------------------------------------------------------------ - 4.51 slack (MET) - - -Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 - (falling edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31670_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 12.50 12.50 clock clock (fall edge) - 0.00 12.50 clock source latency - 6.03 5.21 17.71 v clock (in) - 1 1.12 clock (net) - 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.00 clocking/clknet_0_ext_clk (net) - 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_1_0_core_clk (net) - 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_2_1_1_core_clk (net) - 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_0_core_clk (net) - 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_1_core_clk (net) - 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_2_2_core_clk (net) - 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_4_0_core_clk (net) - 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_8_0_core_clk (net) - 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.18 soc/core/clknet_5_8_1_core_clk (net) - 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) - 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) - 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.02 soc/core/clknet_leaf_21_core_clk (net) - 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) - 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) - 2 0.03 soc/core/sram_bus_dat_r[15] (net) - 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) - 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.05 soc/core/net2501 (net) - 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2500 (net) - 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) - 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2499 (net) - 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2498 (net) - 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2497 (net) - 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) - 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) - 1 0.00 soc/core/_11276_ (net) - 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) - 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) - 1 0.01 soc/core/_11277_ (net) - 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) - 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) - 1 0.00 soc/core/_11278_ (net) - 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) - 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) - 2 0.06 soc/core/net4058 (net) - 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) - 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) - 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) - 1 0.01 soc/core/net4057 (net) - 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) - 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) - 8 0.07 soc/core/net4056 (net) - 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) - 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) - 1 0.02 soc/core/_01130_ (net) - 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) - 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) - 1 0.01 soc/core/_01131_ (net) - 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) - 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) - 8 0.09 soc/core/_01132_ (net) - 0.17 0.01 31.22 ^ soc/core/repeater816/A (sky130_fd_sc_hd__buf_12) - 0.44 0.36 31.58 ^ soc/core/repeater816/X (sky130_fd_sc_hd__buf_12) - 66 0.40 soc/core/net816 (net) - 0.50 0.13 31.72 ^ soc/core/repeater813/A (sky130_fd_sc_hd__buf_12) - 0.48 0.43 32.15 ^ soc/core/repeater813/X (sky130_fd_sc_hd__buf_12) - 78 0.44 soc/core/net813 (net) - 0.48 0.01 32.16 ^ soc/core/_30459_/S0 (sky130_fd_sc_hd__mux4_1) - 0.11 0.69 32.85 v soc/core/_30459_/X (sky130_fd_sc_hd__mux4_1) - 1 0.01 soc/core/_01373_ (net) - 0.11 0.00 32.85 v soc/core/_30461_/A2 (sky130_fd_sc_hd__mux4_1) - 0.10 0.56 33.41 v soc/core/_30461_/X (sky130_fd_sc_hd__mux4_1) - 1 0.01 soc/core/_01375_ (net) - 0.10 0.00 33.41 v soc/core/_29073_/A0 (sky130_fd_sc_hd__mux2_1) - 0.07 0.33 33.74 v soc/core/_29073_/X (sky130_fd_sc_hd__mux2_1) - 1 0.00 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[23] (net) - 0.07 0.00 33.74 v soc/core/_31670_/D (sky130_fd_sc_hd__dfxtp_1) - 33.74 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_2_0_core_clk (net) - 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_2_1_core_clk (net) - 0.14 0.01 35.11 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.16 35.28 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_4_0_core_clk (net) - 0.05 0.00 35.28 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.12 35.39 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_4_1_core_clk (net) - 0.04 0.00 35.39 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.13 0.18 35.58 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_4_2_core_clk (net) - 0.13 0.01 35.58 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.20 35.78 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_8_0_core_clk (net) - 0.10 0.00 35.78 ^ soc/core/clkbuf_5_17_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.14 35.92 ^ soc/core/clkbuf_5_17_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_17_0_core_clk (net) - 0.04 0.00 35.92 ^ soc/core/clkbuf_5_17_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.77 0.60 36.52 ^ soc/core/clkbuf_5_17_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 32 0.26 soc/core/clknet_5_17_1_core_clk (net) - 0.77 0.01 36.52 ^ soc/core/clkbuf_leaf_356_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.10 0.31 36.83 ^ soc/core/clkbuf_leaf_356_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 30 0.08 soc/core/clknet_leaf_356_core_clk (net) - 0.10 0.00 36.83 ^ soc/core/_31670_/CLK (sky130_fd_sc_hd__dfxtp_1) - -0.25 36.58 clock uncertainty - 1.80 38.38 clock reconvergence pessimism - -0.11 38.27 library setup time - 38.27 data required time ------------------------------------------------------------------------------ - 38.27 data required time - -33.74 data arrival time ------------------------------------------------------------------------------ - 4.53 slack (MET) - - -Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 - (falling edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31304_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 12.50 12.50 clock clock (fall edge) - 0.00 12.50 clock source latency - 6.03 5.21 17.71 v clock (in) - 1 1.12 clock (net) - 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.00 clocking/clknet_0_ext_clk (net) - 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_1_0_core_clk (net) - 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_2_1_1_core_clk (net) - 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_0_core_clk (net) - 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_1_core_clk (net) - 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_2_2_core_clk (net) - 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_4_0_core_clk (net) - 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_8_0_core_clk (net) - 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.18 soc/core/clknet_5_8_1_core_clk (net) - 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) - 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) - 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.02 soc/core/clknet_leaf_21_core_clk (net) - 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) - 0.00 0.43 26.69 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[20] (sky130_sram_2kbyte_1rw1r_32x512_8) - 1 0.01 soc/core/sram_bus_dat_r[20] (net) - 0.00 0.00 26.69 v soc/core/_22934_/B (sky130_fd_sc_hd__and2_4) - 0.36 0.38 27.07 v soc/core/_22934_/X (sky130_fd_sc_hd__and2_4) - 2 0.29 soc/core/_11247_ (net) - 0.37 0.06 27.13 v soc/core/_22935_/C1 (sky130_fd_sc_hd__a221o_4) - 0.24 0.68 27.81 v soc/core/_22935_/X (sky130_fd_sc_hd__a221o_4) - 2 0.15 soc/core/_11248_ (net) - 0.24 0.02 27.83 v soc/core/_22936_/C1 (sky130_fd_sc_hd__a221o_1) - 0.09 0.39 28.21 v soc/core/_22936_/X (sky130_fd_sc_hd__a221o_1) - 1 0.01 soc/core/_11249_ (net) - 0.09 0.00 28.21 v soc/core/_22937_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.11 0.53 28.74 v soc/core/_22937_/X (sky130_fd_sc_hd__a2111o_4) - 4 0.03 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[20] (net) - 0.11 0.00 28.74 v soc/core/hold1142/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.13 0.69 29.43 v soc/core/hold1142/X (sky130_fd_sc_hd__dlygate4sd3_1) - 2 0.02 soc/core/net4009 (net) - 0.13 0.00 29.43 v soc/core/hold1143/A (sky130_fd_sc_hd__buf_4) - 0.10 0.24 29.68 v soc/core/hold1143/X (sky130_fd_sc_hd__buf_4) - 6 0.07 soc/core/net4008 (net) - 0.10 0.01 29.69 v soc/core/_29697_/A0 (sky130_fd_sc_hd__mux2_1) - 0.07 0.33 30.02 v soc/core/_29697_/X (sky130_fd_sc_hd__mux2_1) - 1 0.01 soc/core/_00742_ (net) - 0.07 0.00 30.02 v soc/core/_27064_/A (sky130_fd_sc_hd__inv_2) - 0.04 0.07 30.09 ^ soc/core/_27064_/Y (sky130_fd_sc_hd__inv_2) - 1 0.01 soc/core/_00743_ (net) - 0.04 0.00 30.09 ^ soc/core/_29696_/A0 (sky130_fd_sc_hd__mux2_8) - 0.18 0.27 30.36 ^ soc/core/_29696_/X (sky130_fd_sc_hd__mux2_8) - 8 0.10 soc/core/_00744_ (net) - 0.19 0.02 30.38 ^ soc/core/repeater798/A (sky130_fd_sc_hd__buf_12) - 0.47 0.40 30.78 ^ soc/core/repeater798/X (sky130_fd_sc_hd__buf_12) - 76 0.42 soc/core/net798 (net) - 0.51 0.12 30.89 ^ soc/core/repeater797/A (sky130_fd_sc_hd__buf_12) - 0.48 0.36 31.25 ^ soc/core/repeater797/X (sky130_fd_sc_hd__buf_12) - 74 0.46 soc/core/net797 (net) - 0.75 0.31 31.56 ^ soc/core/repeater796/A (sky130_fd_sc_hd__buf_12) - 0.46 0.43 31.99 ^ soc/core/repeater796/X (sky130_fd_sc_hd__buf_12) - 76 0.43 soc/core/net796 (net) - 0.60 0.21 32.20 ^ soc/core/_30893_/S0 (sky130_fd_sc_hd__mux4_2) - 0.14 0.70 32.90 v soc/core/_30893_/X (sky130_fd_sc_hd__mux4_2) - 2 0.02 soc/core/_01068_ (net) - 0.14 0.00 32.90 v soc/core/_30897_/A0 (sky130_fd_sc_hd__mux4_2) - 0.18 0.66 33.56 v soc/core/_30897_/X (sky130_fd_sc_hd__mux4_2) - 2 0.04 soc/core/_01072_ (net) - 0.18 0.01 33.57 v soc/core/_29394_/A1 (sky130_fd_sc_hd__mux2_1) - 0.08 0.38 33.95 v soc/core/_29394_/X (sky130_fd_sc_hd__mux2_1) - 1 0.01 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[0]$d[31] (net) - 0.08 0.00 33.95 v soc/core/_31304_/D (sky130_fd_sc_hd__dfxtp_4) - 33.95 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 33.99 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.12 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.05 0.00 34.12 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.25 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.05 0.00 34.25 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.38 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.05 0.00 34.39 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.11 34.49 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.03 0.00 34.49 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.24 0.24 34.74 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.25 0.03 34.76 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.17 34.94 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_0_0_core_clk (net) - 0.03 0.00 34.94 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.52 0.40 35.34 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.18 soc/core/clknet_2_0_1_core_clk (net) - 0.54 0.07 35.41 ^ soc/core/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.06 0.26 35.67 ^ soc/core/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_0_0_core_clk (net) - 0.06 0.00 35.67 ^ soc/core/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.13 35.80 ^ soc/core/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_0_1_core_clk (net) - 0.04 0.00 35.80 ^ soc/core/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.14 35.94 ^ soc/core/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 2 0.02 soc/core/clknet_3_0_2_core_clk (net) - 0.07 0.00 35.94 ^ soc/core/clkbuf_4_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.06 0.15 36.09 ^ soc/core/clkbuf_4_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 2 0.02 soc/core/clknet_4_1_0_core_clk (net) - 0.06 0.00 36.09 ^ soc/core/clkbuf_5_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.12 36.21 ^ soc/core/clkbuf_5_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_2_0_core_clk (net) - 0.04 0.00 36.22 ^ soc/core/clkbuf_5_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.31 36.52 ^ soc/core/clkbuf_5_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 14 0.12 soc/core/clknet_5_2_1_core_clk (net) - 0.36 0.04 36.56 ^ soc/core/clkbuf_opt_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.19 36.75 ^ soc/core/clkbuf_opt_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.01 soc/core/clknet_opt_6_0_core_clk (net) - 0.04 0.00 36.75 ^ soc/core/clkbuf_opt_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.11 36.87 ^ soc/core/clkbuf_opt_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.01 soc/core/clknet_opt_6_1_core_clk (net) - 0.04 0.00 36.87 ^ soc/core/clkbuf_leaf_6_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.13 36.99 ^ soc/core/clkbuf_leaf_6_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 8 0.03 soc/core/clknet_leaf_6_core_clk (net) - 0.05 0.00 36.99 ^ soc/core/_31304_/CLK (sky130_fd_sc_hd__dfxtp_4) - -0.25 36.74 clock uncertainty - 1.87 38.62 clock reconvergence pessimism - -0.13 38.49 library setup time - 38.49 data required time ------------------------------------------------------------------------------ - 38.49 data required time - -33.95 data arrival time ------------------------------------------------------------------------------ - 4.54 slack (MET) - - -Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 - (falling edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31658_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 12.50 12.50 clock clock (fall edge) - 0.00 12.50 clock source latency - 6.03 5.21 17.71 v clock (in) - 1 1.12 clock (net) - 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.00 clocking/clknet_0_ext_clk (net) - 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_1_0_core_clk (net) - 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_2_1_1_core_clk (net) - 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_0_core_clk (net) - 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_1_core_clk (net) - 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_2_2_core_clk (net) - 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_4_0_core_clk (net) - 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_8_0_core_clk (net) - 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.18 soc/core/clknet_5_8_1_core_clk (net) - 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) - 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) - 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.02 soc/core/clknet_leaf_21_core_clk (net) - 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) - 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) - 2 0.03 soc/core/sram_bus_dat_r[15] (net) - 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) - 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.05 soc/core/net2501 (net) - 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2500 (net) - 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) - 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2499 (net) - 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2498 (net) - 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2497 (net) - 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) - 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) - 1 0.00 soc/core/_11276_ (net) - 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) - 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) - 1 0.01 soc/core/_11277_ (net) - 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) - 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) - 1 0.00 soc/core/_11278_ (net) - 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) - 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) - 2 0.06 soc/core/net4058 (net) - 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) - 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) - 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) - 1 0.01 soc/core/net4057 (net) - 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) - 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) - 8 0.07 soc/core/net4056 (net) - 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) - 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) - 1 0.02 soc/core/_01130_ (net) - 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) - 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) - 1 0.01 soc/core/_01131_ (net) - 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) - 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) - 8 0.09 soc/core/_01132_ (net) - 0.17 0.01 31.22 ^ soc/core/repeater817/A (sky130_fd_sc_hd__buf_12) - 0.47 0.31 31.53 ^ soc/core/repeater817/X (sky130_fd_sc_hd__buf_12) - 78 0.44 soc/core/net817 (net) - 0.66 0.25 31.78 ^ soc/core/_30340_/S0 (sky130_fd_sc_hd__mux4_2) - 0.16 0.73 32.51 v soc/core/_30340_/X (sky130_fd_sc_hd__mux4_2) - 2 0.03 soc/core/_01254_ (net) - 0.16 0.00 32.52 v soc/core/_30341_/A3 (sky130_fd_sc_hd__mux4_2) - 0.21 0.71 33.23 v soc/core/_30341_/X (sky130_fd_sc_hd__mux4_2) - 2 0.06 soc/core/_01255_ (net) - 0.21 0.01 33.24 v soc/core/_29061_/A0 (sky130_fd_sc_hd__mux2_1) - 0.13 0.45 33.69 v soc/core/_29061_/X (sky130_fd_sc_hd__mux2_1) - 2 0.02 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[11] (net) - 0.13 0.00 33.69 v soc/core/_31658_/D (sky130_fd_sc_hd__dfxtp_2) - 33.69 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_2_0_core_clk (net) - 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_2_1_core_clk (net) - 0.14 0.01 35.11 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.16 35.28 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_4_0_core_clk (net) - 0.05 0.00 35.28 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.12 35.39 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_4_1_core_clk (net) - 0.04 0.00 35.39 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.13 0.18 35.58 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_4_2_core_clk (net) - 0.13 0.01 35.58 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.20 35.78 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_8_0_core_clk (net) - 0.10 0.00 35.78 ^ soc/core/clkbuf_5_17_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.14 35.92 ^ soc/core/clkbuf_5_17_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_17_0_core_clk (net) - 0.04 0.00 35.92 ^ soc/core/clkbuf_5_17_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.77 0.60 36.52 ^ soc/core/clkbuf_5_17_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 32 0.26 soc/core/clknet_5_17_1_core_clk (net) - 0.77 0.02 36.54 ^ soc/core/clkbuf_leaf_327_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.08 0.29 36.83 ^ soc/core/clkbuf_leaf_327_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 18 0.05 soc/core/clknet_leaf_327_core_clk (net) - 0.08 0.00 36.83 ^ soc/core/_31658_/CLK (sky130_fd_sc_hd__dfxtp_2) - -0.25 36.58 clock uncertainty - 1.80 38.38 clock reconvergence pessimism - -0.14 38.24 library setup time - 38.24 data required time ------------------------------------------------------------------------------ - 38.24 data required time - -33.69 data arrival time ------------------------------------------------------------------------------ - 4.55 slack (MET) - - -Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 - (falling edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31303_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 12.50 12.50 clock clock (fall edge) - 0.00 12.50 clock source latency - 6.03 5.21 17.71 v clock (in) - 1 1.12 clock (net) - 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.00 clocking/clknet_0_ext_clk (net) - 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_1_0_core_clk (net) - 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_2_1_1_core_clk (net) - 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_0_core_clk (net) - 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_1_core_clk (net) - 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_2_2_core_clk (net) - 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_4_0_core_clk (net) - 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_8_0_core_clk (net) - 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.18 soc/core/clknet_5_8_1_core_clk (net) - 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) - 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) - 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.02 soc/core/clknet_leaf_21_core_clk (net) - 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) - 0.00 0.43 26.69 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[20] (sky130_sram_2kbyte_1rw1r_32x512_8) - 1 0.01 soc/core/sram_bus_dat_r[20] (net) - 0.00 0.00 26.69 v soc/core/_22934_/B (sky130_fd_sc_hd__and2_4) - 0.36 0.38 27.07 v soc/core/_22934_/X (sky130_fd_sc_hd__and2_4) - 2 0.29 soc/core/_11247_ (net) - 0.37 0.06 27.13 v soc/core/_22935_/C1 (sky130_fd_sc_hd__a221o_4) - 0.24 0.68 27.81 v soc/core/_22935_/X (sky130_fd_sc_hd__a221o_4) - 2 0.15 soc/core/_11248_ (net) - 0.24 0.02 27.83 v soc/core/_22936_/C1 (sky130_fd_sc_hd__a221o_1) - 0.09 0.39 28.21 v soc/core/_22936_/X (sky130_fd_sc_hd__a221o_1) - 1 0.01 soc/core/_11249_ (net) - 0.09 0.00 28.21 v soc/core/_22937_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.11 0.53 28.74 v soc/core/_22937_/X (sky130_fd_sc_hd__a2111o_4) - 4 0.03 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[20] (net) - 0.11 0.00 28.74 v soc/core/hold1142/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.13 0.69 29.43 v soc/core/hold1142/X (sky130_fd_sc_hd__dlygate4sd3_1) - 2 0.02 soc/core/net4009 (net) - 0.13 0.00 29.43 v soc/core/hold1143/A (sky130_fd_sc_hd__buf_4) - 0.10 0.24 29.68 v soc/core/hold1143/X (sky130_fd_sc_hd__buf_4) - 6 0.07 soc/core/net4008 (net) - 0.10 0.01 29.69 v soc/core/_29697_/A0 (sky130_fd_sc_hd__mux2_1) - 0.07 0.33 30.02 v soc/core/_29697_/X (sky130_fd_sc_hd__mux2_1) - 1 0.01 soc/core/_00742_ (net) - 0.07 0.00 30.02 v soc/core/_27064_/A (sky130_fd_sc_hd__inv_2) - 0.04 0.07 30.09 ^ soc/core/_27064_/Y (sky130_fd_sc_hd__inv_2) - 1 0.01 soc/core/_00743_ (net) - 0.04 0.00 30.09 ^ soc/core/_29696_/A0 (sky130_fd_sc_hd__mux2_8) - 0.18 0.27 30.36 ^ soc/core/_29696_/X (sky130_fd_sc_hd__mux2_8) - 8 0.10 soc/core/_00744_ (net) - 0.19 0.02 30.38 ^ soc/core/repeater798/A (sky130_fd_sc_hd__buf_12) - 0.47 0.40 30.78 ^ soc/core/repeater798/X (sky130_fd_sc_hd__buf_12) - 76 0.42 soc/core/net798 (net) - 0.51 0.12 30.89 ^ soc/core/repeater797/A (sky130_fd_sc_hd__buf_12) - 0.48 0.36 31.25 ^ soc/core/repeater797/X (sky130_fd_sc_hd__buf_12) - 74 0.46 soc/core/net797 (net) - 0.75 0.31 31.56 ^ soc/core/repeater796/A (sky130_fd_sc_hd__buf_12) - 0.46 0.43 31.99 ^ soc/core/repeater796/X (sky130_fd_sc_hd__buf_12) - 76 0.43 soc/core/net796 (net) - 0.59 0.20 32.19 ^ soc/core/_30881_/S0 (sky130_fd_sc_hd__mux4_1) - 0.12 0.72 32.91 v soc/core/_30881_/X (sky130_fd_sc_hd__mux4_1) - 1 0.01 soc/core/_01056_ (net) - 0.12 0.00 32.91 v soc/core/_30882_/A3 (sky130_fd_sc_hd__mux4_2) - 0.13 0.60 33.51 v soc/core/_30882_/X (sky130_fd_sc_hd__mux4_2) - 2 0.02 soc/core/_01057_ (net) - 0.13 0.00 33.51 v soc/core/_29393_/A0 (sky130_fd_sc_hd__mux2_4) - 0.12 0.39 33.90 v soc/core/_29393_/X (sky130_fd_sc_hd__mux2_4) - 2 0.04 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[0]$d[30] (net) - 0.12 0.01 33.91 v soc/core/_31303_/D (sky130_fd_sc_hd__dfxtp_1) - 33.91 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 33.99 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.12 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.05 0.00 34.12 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.25 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.05 0.00 34.25 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.38 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.05 0.00 34.39 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.11 34.49 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.03 0.00 34.49 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.24 0.24 34.74 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.25 0.03 34.76 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.17 34.94 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_0_0_core_clk (net) - 0.03 0.00 34.94 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.52 0.40 35.34 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.18 soc/core/clknet_2_0_1_core_clk (net) - 0.54 0.07 35.41 ^ soc/core/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.06 0.26 35.67 ^ soc/core/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_0_0_core_clk (net) - 0.06 0.00 35.67 ^ soc/core/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.13 35.80 ^ soc/core/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_0_1_core_clk (net) - 0.04 0.00 35.80 ^ soc/core/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.14 35.94 ^ soc/core/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 2 0.02 soc/core/clknet_3_0_2_core_clk (net) - 0.07 0.00 35.94 ^ soc/core/clkbuf_4_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.06 0.15 36.09 ^ soc/core/clkbuf_4_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 2 0.02 soc/core/clknet_4_1_0_core_clk (net) - 0.06 0.00 36.09 ^ soc/core/clkbuf_5_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.12 36.21 ^ soc/core/clkbuf_5_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_2_0_core_clk (net) - 0.04 0.00 36.22 ^ soc/core/clkbuf_5_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.31 36.52 ^ soc/core/clkbuf_5_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 14 0.12 soc/core/clknet_5_2_1_core_clk (net) - 0.36 0.03 36.56 ^ soc/core/clkbuf_opt_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.20 36.75 ^ soc/core/clkbuf_opt_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.01 soc/core/clknet_opt_8_0_core_clk (net) - 0.04 0.00 36.75 ^ soc/core/clkbuf_opt_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.12 36.87 ^ soc/core/clkbuf_opt_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_8_1_core_clk (net) - 0.04 0.00 36.88 ^ soc/core/clkbuf_leaf_8_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.06 0.13 37.01 ^ soc/core/clkbuf_leaf_8_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 10 0.04 soc/core/clknet_leaf_8_core_clk (net) - 0.06 0.00 37.01 ^ soc/core/_31303_/CLK (sky130_fd_sc_hd__dfxtp_1) - -0.25 36.76 clock uncertainty - 1.87 38.63 clock reconvergence pessimism - -0.14 38.49 library setup time - 38.49 data required time ------------------------------------------------------------------------------ - 38.49 data required time - -33.91 data arrival time ------------------------------------------------------------------------------ - 4.58 slack (MET) - - -Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 - (falling edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31662_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 12.50 12.50 clock clock (fall edge) - 0.00 12.50 clock source latency - 6.03 5.21 17.71 v clock (in) - 1 1.12 clock (net) - 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.00 clocking/clknet_0_ext_clk (net) - 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_1_0_core_clk (net) - 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_2_1_1_core_clk (net) - 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_0_core_clk (net) - 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_1_core_clk (net) - 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_2_2_core_clk (net) - 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_4_0_core_clk (net) - 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_8_0_core_clk (net) - 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.18 soc/core/clknet_5_8_1_core_clk (net) - 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) - 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) - 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.02 soc/core/clknet_leaf_21_core_clk (net) - 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) - 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) - 2 0.03 soc/core/sram_bus_dat_r[15] (net) - 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) - 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.05 soc/core/net2501 (net) - 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2500 (net) - 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) - 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2499 (net) - 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2498 (net) - 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2497 (net) - 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) - 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) - 1 0.00 soc/core/_11276_ (net) - 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) - 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) - 1 0.01 soc/core/_11277_ (net) - 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) - 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) - 1 0.00 soc/core/_11278_ (net) - 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) - 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) - 2 0.06 soc/core/net4058 (net) - 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) - 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) - 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) - 1 0.01 soc/core/net4057 (net) - 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) - 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) - 8 0.07 soc/core/net4056 (net) - 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) - 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) - 1 0.02 soc/core/_01130_ (net) - 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) - 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) - 1 0.01 soc/core/_01131_ (net) - 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) - 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) - 8 0.09 soc/core/_01132_ (net) - 0.17 0.01 31.22 ^ soc/core/repeater817/A (sky130_fd_sc_hd__buf_12) - 0.47 0.31 31.53 ^ soc/core/repeater817/X (sky130_fd_sc_hd__buf_12) - 78 0.44 soc/core/net817 (net) - 0.66 0.25 31.78 ^ soc/core/_30380_/S0 (sky130_fd_sc_hd__mux4_2) - 0.13 0.70 32.47 v soc/core/_30380_/X (sky130_fd_sc_hd__mux4_2) - 2 0.02 soc/core/_01294_ (net) - 0.13 0.00 32.48 v soc/core/_30381_/A3 (sky130_fd_sc_hd__mux4_2) - 0.18 0.67 33.15 v soc/core/_30381_/X (sky130_fd_sc_hd__mux4_2) - 2 0.04 soc/core/_01295_ (net) - 0.18 0.01 33.16 v soc/core/_29065_/A0 (sky130_fd_sc_hd__mux2_2) - 0.12 0.42 33.57 v soc/core/_29065_/X (sky130_fd_sc_hd__mux2_2) - 2 0.03 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[15] (net) - 0.12 0.01 33.58 v soc/core/_31662_/D (sky130_fd_sc_hd__dfxtp_1) - 33.58 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_2_0_core_clk (net) - 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_2_1_core_clk (net) - 0.14 0.01 35.11 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.16 35.28 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_4_0_core_clk (net) - 0.05 0.00 35.28 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.12 35.39 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_4_1_core_clk (net) - 0.04 0.00 35.39 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.13 0.18 35.58 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_4_2_core_clk (net) - 0.13 0.01 35.58 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.20 35.78 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_8_0_core_clk (net) - 0.10 0.00 35.78 ^ soc/core/clkbuf_5_17_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.14 35.92 ^ soc/core/clkbuf_5_17_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_17_0_core_clk (net) - 0.04 0.00 35.92 ^ soc/core/clkbuf_5_17_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.77 0.60 36.52 ^ soc/core/clkbuf_5_17_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 32 0.26 soc/core/clknet_5_17_1_core_clk (net) - 0.77 0.01 36.52 ^ soc/core/clkbuf_leaf_354_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.09 0.30 36.82 ^ soc/core/clkbuf_leaf_354_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 26 0.06 soc/core/clknet_leaf_354_core_clk (net) - 0.09 0.00 36.82 ^ soc/core/_31662_/CLK (sky130_fd_sc_hd__dfxtp_1) - -0.25 36.57 clock uncertainty - 1.80 38.37 clock reconvergence pessimism - -0.13 38.24 library setup time - 38.24 data required time ------------------------------------------------------------------------------ - 38.24 data required time - -33.58 data arrival time ------------------------------------------------------------------------------ - 4.66 slack (MET) - - -Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 - (falling edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31654_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 12.50 12.50 clock clock (fall edge) - 0.00 12.50 clock source latency - 6.03 5.21 17.71 v clock (in) - 1 1.12 clock (net) - 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.00 clocking/clknet_0_ext_clk (net) - 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_1_0_core_clk (net) - 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_2_1_1_core_clk (net) - 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_0_core_clk (net) - 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_1_core_clk (net) - 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_2_2_core_clk (net) - 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_4_0_core_clk (net) - 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_8_0_core_clk (net) - 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.18 soc/core/clknet_5_8_1_core_clk (net) - 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) - 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) - 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.02 soc/core/clknet_leaf_21_core_clk (net) - 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) - 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) - 2 0.03 soc/core/sram_bus_dat_r[15] (net) - 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) - 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.05 soc/core/net2501 (net) - 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2500 (net) - 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) - 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2499 (net) - 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2498 (net) - 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2497 (net) - 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) - 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) - 1 0.00 soc/core/_11276_ (net) - 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) - 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) - 1 0.01 soc/core/_11277_ (net) - 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) - 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) - 1 0.00 soc/core/_11278_ (net) - 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) - 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) - 2 0.06 soc/core/net4058 (net) - 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) - 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) - 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) - 1 0.01 soc/core/net4057 (net) - 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) - 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) - 8 0.07 soc/core/net4056 (net) - 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) - 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) - 1 0.02 soc/core/_01130_ (net) - 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) - 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) - 1 0.01 soc/core/_01131_ (net) - 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) - 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) - 8 0.09 soc/core/_01132_ (net) - 0.17 0.01 31.22 ^ soc/core/repeater816/A (sky130_fd_sc_hd__buf_12) - 0.44 0.36 31.58 ^ soc/core/repeater816/X (sky130_fd_sc_hd__buf_12) - 66 0.40 soc/core/net816 (net) - 0.50 0.13 31.72 ^ soc/core/repeater813/A (sky130_fd_sc_hd__buf_12) - 0.48 0.43 32.15 ^ soc/core/repeater813/X (sky130_fd_sc_hd__buf_12) - 78 0.44 soc/core/net813 (net) - 0.48 0.01 32.15 ^ soc/core/_30305_/S0 (sky130_fd_sc_hd__mux4_2) - 0.12 0.66 32.81 v soc/core/_30305_/X (sky130_fd_sc_hd__mux4_2) - 1 0.02 soc/core/_01219_ (net) - 0.12 0.00 32.81 v soc/core/_30306_/A3 (sky130_fd_sc_hd__mux4_1) - 0.08 0.51 33.33 v soc/core/_30306_/X (sky130_fd_sc_hd__mux4_1) - 1 0.00 soc/core/_01220_ (net) - 0.08 0.00 33.33 v soc/core/_29057_/A1 (sky130_fd_sc_hd__mux2_1) - 0.09 0.36 33.69 v soc/core/_29057_/X (sky130_fd_sc_hd__mux2_1) - 1 0.01 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[7] (net) - 0.09 0.00 33.69 v soc/core/_31654_/D (sky130_fd_sc_hd__dfxtp_1) - 33.69 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_2_0_core_clk (net) - 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_2_1_core_clk (net) - 0.14 0.01 35.11 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.16 35.28 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_4_0_core_clk (net) - 0.05 0.00 35.28 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.12 35.39 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_4_1_core_clk (net) - 0.04 0.00 35.39 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.13 0.18 35.58 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_4_2_core_clk (net) - 0.13 0.01 35.58 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.20 35.78 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_8_0_core_clk (net) - 0.10 0.00 35.78 ^ soc/core/clkbuf_5_16_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.14 35.92 ^ soc/core/clkbuf_5_16_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_16_0_core_clk (net) - 0.04 0.00 35.92 ^ soc/core/clkbuf_5_16_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.93 0.71 36.63 ^ soc/core/clkbuf_5_16_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 38 0.32 soc/core/clknet_5_16_1_core_clk (net) - 0.93 0.01 36.64 ^ soc/core/clkbuf_leaf_351_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.08 0.30 36.93 ^ soc/core/clkbuf_leaf_351_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 12 0.04 soc/core/clknet_leaf_351_core_clk (net) - 0.08 0.00 36.93 ^ soc/core/_31654_/CLK (sky130_fd_sc_hd__dfxtp_1) - -0.25 36.68 clock uncertainty - 1.80 38.48 clock reconvergence pessimism - -0.12 38.36 library setup time - 38.36 data required time ------------------------------------------------------------------------------ - 38.36 data required time - -33.69 data arrival time ------------------------------------------------------------------------------ - 4.66 slack (MET) - - -Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 - (falling edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31300_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 12.50 12.50 clock clock (fall edge) - 0.00 12.50 clock source latency - 6.03 5.21 17.71 v clock (in) - 1 1.12 clock (net) - 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.00 clocking/clknet_0_ext_clk (net) - 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_1_0_core_clk (net) - 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_2_1_1_core_clk (net) - 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_0_core_clk (net) - 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_1_core_clk (net) - 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_2_2_core_clk (net) - 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_4_0_core_clk (net) - 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_8_0_core_clk (net) - 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.18 soc/core/clknet_5_8_1_core_clk (net) - 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) - 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) - 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.02 soc/core/clknet_leaf_21_core_clk (net) - 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) - 0.00 0.43 26.69 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[20] (sky130_sram_2kbyte_1rw1r_32x512_8) - 1 0.01 soc/core/sram_bus_dat_r[20] (net) - 0.00 0.00 26.69 v soc/core/_22934_/B (sky130_fd_sc_hd__and2_4) - 0.36 0.38 27.07 v soc/core/_22934_/X (sky130_fd_sc_hd__and2_4) - 2 0.29 soc/core/_11247_ (net) - 0.37 0.06 27.13 v soc/core/_22935_/C1 (sky130_fd_sc_hd__a221o_4) - 0.24 0.68 27.81 v soc/core/_22935_/X (sky130_fd_sc_hd__a221o_4) - 2 0.15 soc/core/_11248_ (net) - 0.24 0.02 27.83 v soc/core/_22936_/C1 (sky130_fd_sc_hd__a221o_1) - 0.09 0.39 28.21 v soc/core/_22936_/X (sky130_fd_sc_hd__a221o_1) - 1 0.01 soc/core/_11249_ (net) - 0.09 0.00 28.21 v soc/core/_22937_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.11 0.53 28.74 v soc/core/_22937_/X (sky130_fd_sc_hd__a2111o_4) - 4 0.03 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[20] (net) - 0.11 0.00 28.74 v soc/core/hold1142/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.13 0.69 29.43 v soc/core/hold1142/X (sky130_fd_sc_hd__dlygate4sd3_1) - 2 0.02 soc/core/net4009 (net) - 0.13 0.00 29.43 v soc/core/hold1143/A (sky130_fd_sc_hd__buf_4) - 0.10 0.24 29.68 v soc/core/hold1143/X (sky130_fd_sc_hd__buf_4) - 6 0.07 soc/core/net4008 (net) - 0.10 0.01 29.69 v soc/core/_29697_/A0 (sky130_fd_sc_hd__mux2_1) - 0.07 0.33 30.02 v soc/core/_29697_/X (sky130_fd_sc_hd__mux2_1) - 1 0.01 soc/core/_00742_ (net) - 0.07 0.00 30.02 v soc/core/_27064_/A (sky130_fd_sc_hd__inv_2) - 0.04 0.07 30.09 ^ soc/core/_27064_/Y (sky130_fd_sc_hd__inv_2) - 1 0.01 soc/core/_00743_ (net) - 0.04 0.00 30.09 ^ soc/core/_29696_/A0 (sky130_fd_sc_hd__mux2_8) - 0.18 0.27 30.36 ^ soc/core/_29696_/X (sky130_fd_sc_hd__mux2_8) - 8 0.10 soc/core/_00744_ (net) - 0.19 0.02 30.38 ^ soc/core/repeater798/A (sky130_fd_sc_hd__buf_12) - 0.47 0.40 30.78 ^ soc/core/repeater798/X (sky130_fd_sc_hd__buf_12) - 76 0.42 soc/core/net798 (net) - 0.51 0.12 30.89 ^ soc/core/repeater797/A (sky130_fd_sc_hd__buf_12) - 0.48 0.36 31.25 ^ soc/core/repeater797/X (sky130_fd_sc_hd__buf_12) - 74 0.46 soc/core/net797 (net) - 0.75 0.31 31.56 ^ soc/core/repeater796/A (sky130_fd_sc_hd__buf_12) - 0.46 0.43 31.99 ^ soc/core/repeater796/X (sky130_fd_sc_hd__buf_12) - 76 0.43 soc/core/net796 (net) - 0.46 0.01 32.00 ^ soc/core/_30855_/S0 (sky130_fd_sc_hd__mux4_2) - 0.12 0.65 32.65 v soc/core/_30855_/X (sky130_fd_sc_hd__mux4_2) - 1 0.02 soc/core/_01030_ (net) - 0.12 0.00 32.65 v soc/core/_30857_/A2 (sky130_fd_sc_hd__mux4_1) - 0.09 0.53 33.19 v soc/core/_30857_/X (sky130_fd_sc_hd__mux4_1) - 1 0.00 soc/core/_01032_ (net) - 0.09 0.00 33.19 v soc/core/_29390_/A1 (sky130_fd_sc_hd__mux2_4) - 0.08 0.32 33.51 v soc/core/_29390_/X (sky130_fd_sc_hd__mux2_4) - 2 0.02 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[0]$d[27] (net) - 0.08 0.00 33.51 v soc/core/_31300_/D (sky130_fd_sc_hd__dfxtp_4) - 33.51 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 33.99 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.12 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.05 0.00 34.12 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.25 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.05 0.00 34.25 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.38 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.05 0.00 34.39 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.11 34.49 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.03 0.00 34.49 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.24 0.24 34.74 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.25 0.03 34.76 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.17 34.94 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_0_0_core_clk (net) - 0.03 0.00 34.94 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.52 0.40 35.34 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.18 soc/core/clknet_2_0_1_core_clk (net) - 0.54 0.07 35.41 ^ soc/core/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.06 0.26 35.67 ^ soc/core/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_0_0_core_clk (net) - 0.06 0.00 35.67 ^ soc/core/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.13 35.80 ^ soc/core/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_0_1_core_clk (net) - 0.04 0.00 35.80 ^ soc/core/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.14 35.94 ^ soc/core/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 2 0.02 soc/core/clknet_3_0_2_core_clk (net) - 0.07 0.00 35.94 ^ soc/core/clkbuf_4_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.17 36.11 ^ soc/core/clkbuf_4_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_0_0_core_clk (net) - 0.09 0.00 36.11 ^ soc/core/clkbuf_5_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.13 36.25 ^ soc/core/clkbuf_5_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_1_0_core_clk (net) - 0.04 0.00 36.25 ^ soc/core/clkbuf_5_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.33 36.57 ^ soc/core/clkbuf_5_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 14 0.12 soc/core/clknet_5_1_1_core_clk (net) - 0.35 0.01 36.58 ^ soc/core/clkbuf_leaf_403_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.09 0.24 36.82 ^ soc/core/clkbuf_leaf_403_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 26 0.07 soc/core/clknet_leaf_403_core_clk (net) - 0.09 0.00 36.83 ^ soc/core/_31300_/CLK (sky130_fd_sc_hd__dfxtp_4) - -0.25 36.58 clock uncertainty - 1.87 38.45 clock reconvergence pessimism - -0.12 38.33 library setup time - 38.33 data required time ------------------------------------------------------------------------------ - 38.33 data required time - -33.51 data arrival time ------------------------------------------------------------------------------ - 4.82 slack (MET) - - -Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 - (falling edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31647_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 12.50 12.50 clock clock (fall edge) - 0.00 12.50 clock source latency - 6.03 5.21 17.71 v clock (in) - 1 1.12 clock (net) - 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.00 clocking/clknet_0_ext_clk (net) - 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_1_0_core_clk (net) - 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_2_1_1_core_clk (net) - 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_0_core_clk (net) - 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_1_core_clk (net) - 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_2_2_core_clk (net) - 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_4_0_core_clk (net) - 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_8_0_core_clk (net) - 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.18 soc/core/clknet_5_8_1_core_clk (net) - 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) - 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) - 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.02 soc/core/clknet_leaf_21_core_clk (net) - 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) - 0.02 0.55 26.82 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) - 2 0.03 soc/core/sram_bus_dat_r[15] (net) - 0.01 0.00 26.82 v soc/core/repeater2501/A (sky130_fd_sc_hd__clkbuf_2) - 0.19 0.22 27.04 v soc/core/repeater2501/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.05 soc/core/net2501 (net) - 0.19 0.00 27.05 v soc/core/repeater2500/A (sky130_fd_sc_hd__clkbuf_2) - 0.17 0.28 27.33 v soc/core/repeater2500/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2500 (net) - 0.17 0.01 27.34 v soc/core/repeater2499/A (sky130_fd_sc_hd__clkbuf_2) - 0.16 0.27 27.61 v soc/core/repeater2499/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2499 (net) - 0.16 0.01 27.61 v soc/core/repeater2498/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 27.88 v soc/core/repeater2498/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2498 (net) - 0.15 0.01 27.88 v soc/core/repeater2497/A (sky130_fd_sc_hd__clkbuf_2) - 0.15 0.26 28.14 v soc/core/repeater2497/X (sky130_fd_sc_hd__clkbuf_2) - 2 0.04 soc/core/net2497 (net) - 0.15 0.01 28.15 v soc/core/_22973_/A2 (sky130_fd_sc_hd__a22o_1) - 0.06 0.29 28.43 v soc/core/_22973_/X (sky130_fd_sc_hd__a22o_1) - 1 0.00 soc/core/_11276_ (net) - 0.06 0.00 28.43 v soc/core/_22974_/B1 (sky130_fd_sc_hd__a21o_1) - 0.06 0.18 28.61 v soc/core/_22974_/X (sky130_fd_sc_hd__a21o_1) - 1 0.01 soc/core/_11277_ (net) - 0.06 0.00 28.61 v soc/core/_22975_/C1 (sky130_fd_sc_hd__a221o_4) - 0.05 0.33 28.95 v soc/core/_22975_/X (sky130_fd_sc_hd__a221o_4) - 1 0.00 soc/core/_11278_ (net) - 0.05 0.00 28.95 v soc/core/hold1192/A (sky130_fd_sc_hd__clkbuf_4) - 0.12 0.23 29.18 v soc/core/hold1192/X (sky130_fd_sc_hd__clkbuf_4) - 2 0.06 soc/core/net4058 (net) - 0.12 0.01 29.19 v soc/core/_22976_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.06 0.45 29.64 v soc/core/_22976_/X (sky130_fd_sc_hd__a2111o_4) - 1 0.00 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[15] (net) - 0.06 0.00 29.64 v soc/core/hold1190/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.11 0.65 30.29 v soc/core/hold1190/X (sky130_fd_sc_hd__dlygate4sd3_1) - 1 0.01 soc/core/net4057 (net) - 0.11 0.00 30.30 v soc/core/hold1191/A (sky130_fd_sc_hd__buf_4) - 0.09 0.23 30.53 v soc/core/hold1191/X (sky130_fd_sc_hd__buf_4) - 8 0.07 soc/core/net4056 (net) - 0.09 0.01 30.54 v soc/core/_29679_/A0 (sky130_fd_sc_hd__mux2_2) - 0.08 0.34 30.88 v soc/core/_29679_/X (sky130_fd_sc_hd__mux2_2) - 1 0.02 soc/core/_01130_ (net) - 0.08 0.00 30.88 v soc/core/_27157_/A (sky130_fd_sc_hd__inv_2) - 0.04 0.07 30.95 ^ soc/core/_27157_/Y (sky130_fd_sc_hd__inv_2) - 1 0.01 soc/core/_01131_ (net) - 0.04 0.00 30.95 ^ soc/core/_29678_/A0 (sky130_fd_sc_hd__mux2_8) - 0.17 0.26 31.21 ^ soc/core/_29678_/X (sky130_fd_sc_hd__mux2_8) - 8 0.09 soc/core/_01132_ (net) - 0.17 0.01 31.22 ^ soc/core/repeater817/A (sky130_fd_sc_hd__buf_12) - 0.47 0.31 31.53 ^ soc/core/repeater817/X (sky130_fd_sc_hd__buf_12) - 78 0.44 soc/core/net817 (net) - 0.53 0.13 31.66 ^ soc/core/_30233_/S0 (sky130_fd_sc_hd__mux4_2) - 0.20 0.77 32.43 v soc/core/_30233_/X (sky130_fd_sc_hd__mux4_2) - 2 0.05 soc/core/_01147_ (net) - 0.20 0.01 32.44 v soc/core/_30236_/A1 (sky130_fd_sc_hd__mux4_1) - 0.09 0.58 33.02 v soc/core/_30236_/X (sky130_fd_sc_hd__mux4_1) - 1 0.00 soc/core/_01150_ (net) - 0.09 0.00 33.02 v soc/core/_29050_/A1 (sky130_fd_sc_hd__mux2_1) - 0.10 0.38 33.40 v soc/core/_29050_/X (sky130_fd_sc_hd__mux2_1) - 1 0.01 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[1]$d[0] (net) - 0.10 0.00 33.40 v soc/core/_31647_/D (sky130_fd_sc_hd__dfxtp_1) - 33.40 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_2_0_core_clk (net) - 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_2_1_core_clk (net) - 0.14 0.01 35.11 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.16 35.28 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_4_0_core_clk (net) - 0.05 0.00 35.28 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.12 35.39 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_4_1_core_clk (net) - 0.04 0.00 35.39 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.13 0.18 35.58 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_4_2_core_clk (net) - 0.13 0.01 35.58 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.20 35.78 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_8_0_core_clk (net) - 0.10 0.00 35.78 ^ soc/core/clkbuf_5_17_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.14 35.92 ^ soc/core/clkbuf_5_17_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_17_0_core_clk (net) - 0.04 0.00 35.92 ^ soc/core/clkbuf_5_17_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.77 0.60 36.52 ^ soc/core/clkbuf_5_17_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 32 0.26 soc/core/clknet_5_17_1_core_clk (net) - 0.77 0.01 36.52 ^ soc/core/clkbuf_leaf_354_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.09 0.30 36.82 ^ soc/core/clkbuf_leaf_354_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 26 0.06 soc/core/clknet_leaf_354_core_clk (net) - 0.09 0.00 36.82 ^ soc/core/_31647_/CLK (sky130_fd_sc_hd__dfxtp_1) - -0.25 36.57 clock uncertainty - 1.80 38.37 clock reconvergence pessimism - -0.12 38.25 library setup time - 38.25 data required time ------------------------------------------------------------------------------ - 38.25 data required time - -33.40 data arrival time ------------------------------------------------------------------------------ - 4.84 slack (MET) - - -Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 - (falling edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31299_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 12.50 12.50 clock clock (fall edge) - 0.00 12.50 clock source latency - 6.03 5.21 17.71 v clock (in) - 1 1.12 clock (net) - 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.00 clocking/clknet_0_ext_clk (net) - 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_1_0_core_clk (net) - 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_2_1_1_core_clk (net) - 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_0_core_clk (net) - 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_1_core_clk (net) - 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_2_2_core_clk (net) - 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_4_0_core_clk (net) - 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_8_0_core_clk (net) - 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.18 soc/core/clknet_5_8_1_core_clk (net) - 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) - 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) - 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.02 soc/core/clknet_leaf_21_core_clk (net) - 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) - 0.00 0.43 26.69 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[20] (sky130_sram_2kbyte_1rw1r_32x512_8) - 1 0.01 soc/core/sram_bus_dat_r[20] (net) - 0.00 0.00 26.69 v soc/core/_22934_/B (sky130_fd_sc_hd__and2_4) - 0.36 0.38 27.07 v soc/core/_22934_/X (sky130_fd_sc_hd__and2_4) - 2 0.29 soc/core/_11247_ (net) - 0.37 0.06 27.13 v soc/core/_22935_/C1 (sky130_fd_sc_hd__a221o_4) - 0.24 0.68 27.81 v soc/core/_22935_/X (sky130_fd_sc_hd__a221o_4) - 2 0.15 soc/core/_11248_ (net) - 0.24 0.02 27.83 v soc/core/_22936_/C1 (sky130_fd_sc_hd__a221o_1) - 0.09 0.39 28.21 v soc/core/_22936_/X (sky130_fd_sc_hd__a221o_1) - 1 0.01 soc/core/_11249_ (net) - 0.09 0.00 28.21 v soc/core/_22937_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.11 0.53 28.74 v soc/core/_22937_/X (sky130_fd_sc_hd__a2111o_4) - 4 0.03 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[20] (net) - 0.11 0.00 28.74 v soc/core/hold1142/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.13 0.69 29.43 v soc/core/hold1142/X (sky130_fd_sc_hd__dlygate4sd3_1) - 2 0.02 soc/core/net4009 (net) - 0.13 0.00 29.43 v soc/core/hold1143/A (sky130_fd_sc_hd__buf_4) - 0.10 0.24 29.68 v soc/core/hold1143/X (sky130_fd_sc_hd__buf_4) - 6 0.07 soc/core/net4008 (net) - 0.10 0.01 29.69 v soc/core/_29697_/A0 (sky130_fd_sc_hd__mux2_1) - 0.07 0.33 30.02 v soc/core/_29697_/X (sky130_fd_sc_hd__mux2_1) - 1 0.01 soc/core/_00742_ (net) - 0.07 0.00 30.02 v soc/core/_27064_/A (sky130_fd_sc_hd__inv_2) - 0.04 0.07 30.09 ^ soc/core/_27064_/Y (sky130_fd_sc_hd__inv_2) - 1 0.01 soc/core/_00743_ (net) - 0.04 0.00 30.09 ^ soc/core/_29696_/A0 (sky130_fd_sc_hd__mux2_8) - 0.18 0.27 30.36 ^ soc/core/_29696_/X (sky130_fd_sc_hd__mux2_8) - 8 0.10 soc/core/_00744_ (net) - 0.19 0.02 30.38 ^ soc/core/repeater798/A (sky130_fd_sc_hd__buf_12) - 0.47 0.40 30.78 ^ soc/core/repeater798/X (sky130_fd_sc_hd__buf_12) - 76 0.42 soc/core/net798 (net) - 0.51 0.12 30.89 ^ soc/core/repeater797/A (sky130_fd_sc_hd__buf_12) - 0.48 0.36 31.25 ^ soc/core/repeater797/X (sky130_fd_sc_hd__buf_12) - 74 0.46 soc/core/net797 (net) - 0.75 0.31 31.56 ^ soc/core/repeater796/A (sky130_fd_sc_hd__buf_12) - 0.46 0.43 31.99 ^ soc/core/repeater796/X (sky130_fd_sc_hd__buf_12) - 76 0.43 soc/core/net796 (net) - 0.46 0.01 32.00 ^ soc/core/_30843_/S0 (sky130_fd_sc_hd__mux4_1) - 0.12 0.70 32.71 v soc/core/_30843_/X (sky130_fd_sc_hd__mux4_1) - 1 0.01 soc/core/_01018_ (net) - 0.12 0.00 32.71 v soc/core/_30847_/A0 (sky130_fd_sc_hd__mux4_1) - 0.09 0.54 33.25 v soc/core/_30847_/X (sky130_fd_sc_hd__mux4_1) - 1 0.00 soc/core/_01022_ (net) - 0.09 0.00 33.25 v soc/core/_29389_/A1 (sky130_fd_sc_hd__mux2_4) - 0.06 0.28 33.53 v soc/core/_29389_/X (sky130_fd_sc_hd__mux2_4) - 1 0.00 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[0]$d[26] (net) - 0.06 0.00 33.53 v soc/core/hold2474/A (sky130_fd_sc_hd__clkbuf_4) - 0.12 0.23 33.76 v soc/core/hold2474/X (sky130_fd_sc_hd__clkbuf_4) - 2 0.06 soc/core/net5340 (net) - 0.12 0.01 33.77 v soc/core/_31299_/D (sky130_fd_sc_hd__dfxtp_2) - 33.77 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 33.99 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.12 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.05 0.00 34.12 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.25 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.05 0.00 34.25 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.38 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.05 0.00 34.39 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.11 34.49 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.03 0.00 34.49 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.24 0.24 34.74 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.25 0.03 34.76 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.17 34.94 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_0_0_core_clk (net) - 0.03 0.00 34.94 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.52 0.40 35.34 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.18 soc/core/clknet_2_0_1_core_clk (net) - 0.54 0.07 35.41 ^ soc/core/clkbuf_3_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.06 0.26 35.67 ^ soc/core/clkbuf_3_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_0_0_core_clk (net) - 0.06 0.00 35.67 ^ soc/core/clkbuf_3_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.13 35.80 ^ soc/core/clkbuf_3_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_0_1_core_clk (net) - 0.04 0.00 35.80 ^ soc/core/clkbuf_3_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.14 35.94 ^ soc/core/clkbuf_3_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 2 0.02 soc/core/clknet_3_0_2_core_clk (net) - 0.07 0.00 35.94 ^ soc/core/clkbuf_4_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.06 0.15 36.09 ^ soc/core/clkbuf_4_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 2 0.02 soc/core/clknet_4_1_0_core_clk (net) - 0.06 0.00 36.09 ^ soc/core/clkbuf_5_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.12 36.21 ^ soc/core/clkbuf_5_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_3_0_core_clk (net) - 0.04 0.00 36.21 ^ soc/core/clkbuf_5_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.44 0.38 36.60 ^ soc/core/clkbuf_5_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 20 0.15 soc/core/clknet_5_3_1_core_clk (net) - 0.44 0.02 36.61 ^ soc/core/clkbuf_opt_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.07 0.23 36.85 ^ soc/core/clkbuf_opt_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.04 soc/core/clknet_opt_12_0_core_clk (net) - 0.07 0.01 36.85 ^ soc/core/clkbuf_opt_12_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.06 0.14 36.99 ^ soc/core/clkbuf_opt_12_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.04 soc/core/clknet_opt_12_1_core_clk (net) - 0.06 0.00 37.00 ^ soc/core/clkbuf_leaf_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.09 0.16 37.16 ^ soc/core/clkbuf_leaf_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 24 0.07 soc/core/clknet_leaf_1_core_clk (net) - 0.09 0.00 37.16 ^ soc/core/_31299_/CLK (sky130_fd_sc_hd__dfxtp_2) - -0.25 36.91 clock uncertainty - 1.87 38.78 clock reconvergence pessimism - -0.14 38.65 library setup time - 38.65 data required time ------------------------------------------------------------------------------ - 38.65 data required time - -33.77 data arrival time ------------------------------------------------------------------------------ - 4.87 slack (MET) - - -Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 - (falling edge-triggered flip-flop clocked by clock) -Endpoint: soc/core/_31286_ (rising edge-triggered flip-flop clocked by clock) -Path Group: clock -Path Type: max - -Fanout Cap Slew Delay Time Description ------------------------------------------------------------------------------ - 12.50 12.50 clock clock (fall edge) - 0.00 12.50 clock source latency - 6.03 5.21 17.71 v clock (in) - 1 1.12 clock (net) - 6.99 0.00 17.71 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.09 4.13 21.84 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.09 0.01 21.85 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.16 22.02 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.00 clocking/clknet_0_ext_clk (net) - 0.03 0.00 22.02 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.09 22.11 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.02 0.00 22.11 v clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.06 0.29 22.40 v clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.06 0.00 22.40 v clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.08 0.33 22.73 v clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.08 0.00 22.74 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.15 0.21 22.95 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.15 0.01 22.95 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.21 23.16 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.04 0.00 23.16 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_0_core_clk (net) - 0.04 0.00 23.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.47 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_1_core_clk (net) - 0.04 0.00 23.47 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.15 23.62 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_0_2_core_clk (net) - 0.04 0.00 23.62 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.02 0.13 23.75 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_1_0_3_core_clk (net) - 0.02 0.00 23.75 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.16 0.23 23.98 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.08 soc/core/clknet_1_0_4_core_clk (net) - 0.17 0.03 24.01 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.19 24.20 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.00 soc/core/clknet_2_1_0_core_clk (net) - 0.03 0.00 24.20 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.08 0.18 24.38 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_2_1_1_core_clk (net) - 0.08 0.00 24.38 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.17 24.55 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_0_core_clk (net) - 0.04 0.00 24.55 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.14 24.69 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_2_1_core_clk (net) - 0.03 0.00 24.69 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.09 0.19 24.89 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_2_2_core_clk (net) - 0.09 0.01 24.89 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.07 0.20 25.10 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_4_0_core_clk (net) - 0.07 0.00 25.10 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.03 0.15 25.25 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_8_0_core_clk (net) - 0.03 0.00 25.25 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.35 0.39 25.64 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 28 0.18 soc/core/clknet_5_8_1_core_clk (net) - 0.35 0.02 25.67 v soc/core/clkbuf_opt_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.29 25.96 v soc/core/clkbuf_opt_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 1 0.02 soc/core/clknet_opt_21_0_core_clk (net) - 0.04 0.00 25.96 v soc/core/clkbuf_opt_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.11 v soc/core/clkbuf_opt_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.02 soc/core/clknet_opt_21_1_core_clk (net) - 0.04 0.00 26.11 v soc/core/clkbuf_leaf_21_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.04 0.15 26.27 v soc/core/clkbuf_leaf_21_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.02 soc/core/clknet_leaf_21_core_clk (net) - 0.05 0.00 26.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) - 0.00 0.43 26.69 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[21] (sky130_sram_2kbyte_1rw1r_32x512_8) - 1 0.01 soc/core/sram_bus_dat_r[21] (net) - 0.00 0.00 26.69 v soc/core/_22926_/B (sky130_fd_sc_hd__and2_4) - 0.29 0.38 27.07 v soc/core/_22926_/X (sky130_fd_sc_hd__and2_4) - 2 0.24 soc/core/_11241_ (net) - 0.29 0.01 27.08 v soc/core/_22927_/C1 (sky130_fd_sc_hd__a221o_4) - 0.17 0.59 27.66 v soc/core/_22927_/X (sky130_fd_sc_hd__a221o_4) - 2 0.10 soc/core/_11242_ (net) - 0.17 0.01 27.67 v soc/core/_22928_/C1 (sky130_fd_sc_hd__a221o_4) - 0.12 0.47 28.14 v soc/core/_22928_/X (sky130_fd_sc_hd__a221o_4) - 2 0.04 soc/core/_11243_ (net) - 0.12 0.01 28.15 v soc/core/_22929_/C1 (sky130_fd_sc_hd__a2111o_4) - 0.11 0.53 28.68 v soc/core/_22929_/X (sky130_fd_sc_hd__a2111o_4) - 4 0.03 soc/core/VexRiscv.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst[21] (net) - 0.11 0.00 28.68 v soc/core/hold1026/A (sky130_fd_sc_hd__dlygate4sd3_1) - 0.11 0.68 29.36 v soc/core/hold1026/X (sky130_fd_sc_hd__dlygate4sd3_1) - 1 0.02 soc/core/net3893 (net) - 0.11 0.00 29.36 v soc/core/hold1027/A (sky130_fd_sc_hd__clkbuf_4) - 0.11 0.25 29.61 v soc/core/hold1027/X (sky130_fd_sc_hd__clkbuf_4) - 6 0.05 soc/core/net3892 (net) - 0.11 0.01 29.62 v soc/core/_29694_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.36 29.98 v soc/core/_29694_/X (sky130_fd_sc_hd__mux2_1) - 1 0.01 soc/core/_00745_ (net) - 0.09 0.00 29.98 v soc/core/_29695_/A0 (sky130_fd_sc_hd__mux2_8) - 0.12 0.40 30.38 v soc/core/_29695_/X (sky130_fd_sc_hd__mux2_8) - 4 0.07 soc/core/_00746_ (net) - 0.12 0.00 30.38 v soc/core/repeater805/A (sky130_fd_sc_hd__buf_12) - 0.14 0.20 30.58 v soc/core/repeater805/X (sky130_fd_sc_hd__buf_12) - 72 0.37 soc/core/net805 (net) - 0.26 0.11 30.69 v soc/core/repeater804/A (sky130_fd_sc_hd__buf_12) - 0.15 0.29 30.98 v soc/core/repeater804/X (sky130_fd_sc_hd__buf_12) - 82 0.41 soc/core/net804 (net) - 0.28 0.12 31.10 v soc/core/repeater801/A (sky130_fd_sc_hd__buf_12) - 0.11 0.28 31.38 v soc/core/repeater801/X (sky130_fd_sc_hd__buf_12) - 90 0.44 soc/core/net801 (net) - 0.47 0.23 31.61 v soc/core/repeater800/A (sky130_fd_sc_hd__buf_12) - 0.10 0.35 31.96 v soc/core/repeater800/X (sky130_fd_sc_hd__buf_12) - 54 0.27 soc/core/net800 (net) - 0.20 0.08 32.04 v soc/core/_30715_/S1 (sky130_fd_sc_hd__mux4_2) - 0.12 0.41 32.45 v soc/core/_30715_/X (sky130_fd_sc_hd__mux4_2) - 1 0.01 soc/core/_00890_ (net) - 0.12 0.00 32.45 v soc/core/_30717_/A2 (sky130_fd_sc_hd__mux4_2) - 0.16 0.63 33.08 v soc/core/_30717_/X (sky130_fd_sc_hd__mux4_2) - 2 0.03 soc/core/_00892_ (net) - 0.16 0.01 33.08 v soc/core/_29376_/A1 (sky130_fd_sc_hd__mux2_4) - 0.10 0.38 33.46 v soc/core/_29376_/X (sky130_fd_sc_hd__mux2_4) - 2 0.04 soc/core/VexRiscv.RegFilePlugin_regFile$rdreg[0]$d[13] (net) - 0.10 0.01 33.47 v soc/core/_31286_/D (sky130_fd_sc_hd__dfxtp_1) - 33.47 data arrival time - - 25.00 25.00 clock clock (rise edge) - 0.00 25.00 clock source latency - 10.20 7.26 32.26 ^ clock (in) - 1 1.12 clock (net) - 10.77 0.00 32.26 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) - 6 1.12 clock (net) - 0.08 0.76 33.02 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) - 2 0.01 clock_core (net) - 0.08 0.01 33.03 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.03 0.12 33.15 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) - 2 0.01 clocking/clknet_0_ext_clk (net) - 0.03 0.00 33.15 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) - 0.02 0.08 33.23 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) - 1 0.00 clocking/clknet_1_1_0_ext_clk (net) - 0.03 0.00 33.23 ^ clocking/_412_/A0 (sky130_fd_sc_hd__mux2_1) - 0.05 0.11 33.34 ^ clocking/_412_/X (sky130_fd_sc_hd__mux2_1) - 2 0.00 clocking/_190_ (net) - 0.05 0.00 33.34 ^ clocking/_393_/A0 (sky130_fd_sc_hd__mux2_1) - 0.09 0.15 33.49 ^ clocking/_393_/X (sky130_fd_sc_hd__mux2_1) - 4 0.01 clocking/net10 (net) - 0.09 0.00 33.49 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) - 0.34 0.28 33.77 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) - 10 0.03 caravel_clk (net) - 0.34 0.01 33.78 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.05 0.21 33.99 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 4 0.03 soc/core/clknet_0_core_clk (net) - 0.05 0.00 33.99 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.11 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_0_core_clk (net) - 0.05 0.00 34.11 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.24 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_1_core_clk (net) - 0.05 0.00 34.24 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.37 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_2_core_clk (net) - 0.05 0.00 34.37 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.13 34.51 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_1_1_3_core_clk (net) - 0.05 0.00 34.51 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.19 0.22 34.73 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.06 soc/core/clknet_1_1_4_core_clk (net) - 0.19 0.01 34.74 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.17 34.91 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_2_2_0_core_clk (net) - 0.05 0.00 34.91 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.14 0.19 35.11 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.05 soc/core/clknet_2_2_1_core_clk (net) - 0.14 0.01 35.11 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.05 0.16 35.28 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_4_0_core_clk (net) - 0.05 0.00 35.28 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.12 35.39 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_3_4_1_core_clk (net) - 0.04 0.00 35.39 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.13 0.18 35.58 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.04 soc/core/clknet_3_4_2_core_clk (net) - 0.13 0.01 35.58 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.10 0.20 35.78 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 4 0.03 soc/core/clknet_4_8_0_core_clk (net) - 0.10 0.00 35.78 ^ soc/core/clkbuf_5_16_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.04 0.14 35.92 ^ soc/core/clkbuf_5_16_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 1 0.01 soc/core/clknet_5_16_0_core_clk (net) - 0.04 0.00 35.92 ^ soc/core/clkbuf_5_16_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) - 0.93 0.71 36.63 ^ soc/core/clkbuf_5_16_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) - 38 0.32 soc/core/clknet_5_16_1_core_clk (net) - 0.93 0.01 36.64 ^ soc/core/clkbuf_leaf_335_core_clk/A (sky130_fd_sc_hd__clkbuf_16) - 0.08 0.30 36.94 ^ soc/core/clkbuf_leaf_335_core_clk/X (sky130_fd_sc_hd__clkbuf_16) - 16 0.05 soc/core/clknet_leaf_335_core_clk (net) - 0.08 0.00 36.94 ^ soc/core/_31286_/CLK (sky130_fd_sc_hd__dfxtp_1) - -0.25 36.69 clock uncertainty - 1.80 38.48 clock reconvergence pessimism - -0.13 38.36 library setup time - 38.36 data required time ------------------------------------------------------------------------------ - 38.36 data required time - -33.47 data arrival time ------------------------------------------------------------------------------ - 4.89 slack (MET) - - -worst slack -10.79 -worst slack -3.14 diff --git a/signoff/caravel/caravel_timing_fast.log b/signoff/caravel/caravel_timing_fast.log new file mode 100644 index 00000000..149b6e4a --- /dev/null +++ b/signoff/caravel/caravel_timing_fast.log @@ -0,0 +1,33536 @@ +OpenSTA 2.3.1 81ad79bb7b Copyright (c) 2019, Parallax Software, Inc. +License GPLv3: GNU GPL version 3 + +This is free software, and you are free to change and redistribute it +under certain conditions; type `show_copying' for details. +This program comes with ABSOLUTELY NO WARRANTY; for details type `show_warranty'. +Warning: /home/ma/ef/sky130A/libs.ref/sky130_fd_sc_hvl/lib/sky130_fd_sc_hvl__ff_n40C_4v40_lv1v95.lib line 1, default_operating_condition ff_n40C_4v40_lv1v95 not found. +Warning: /home/ma/ef/sky130A/libs.ref/sky130_fd_io/lib/sky130_fd_io__top_ground_lvc_wpad_ff_n40C_1v95_5v50.lib line 18, library sky130_fd_io__top_ground_lvc_wpad_ff_n40C_1v95_5v50 already exists. +Warning: ./verilog/gl/caravel.v line 49, module sky130_fd_sc_hvl__fill_2 not found. Creating black box for FILLER_0_28. +Warning: ./verilog/gl/caravel.v line 55, module sky130_fd_sc_hvl__fill_1 not found. Creating black box for FILLER_0_30. +Warning: ./verilog/gl/caravel.v line 705, module sky130_fd_sc_hd__fill_2 not found. Creating black box for FILLER_0_11. +Warning: ./verilog/gl/caravel.v line 709, module sky130_fd_sc_hd__fill_1 not found. Creating black box for FILLER_0_113. +Warning: ./verilog/gl/caravel.v line 1224, module sky130_ef_io__corner_pad not found. Creating black box for \mgmt_corner[0] . +Warning: ./verilog/gl/caravel.v line 1282, module sky130_ef_io__vddio_hvc_clamped_pad not found. Creating black box for \mgmt_vddio_hvclamp_pad[0] . +Warning: ./verilog/gl/caravel.v line 1342, module sky130_ef_io__vssio_hvc_clamped_pad not found. Creating black box for \mgmt_vssio_hvclamp_pad[0] . +Warning: ./verilog/gl/caravel.v line 1973, module sky130_fd_sc_hd__tapvpwrvgnd_1 not found. Creating black box for TAP_100. +Warning: ./verilog/gl/caravel.v line 4647, module user_project_wrapper not found. Creating black box for mprj. +Warning: ./verilog/gl/caravel.v line 4766, module simple_por not found. Creating black box for por. +_d0800a2c66550000_p_Instance +soc/DFFRAM_0 +_408d0a2c66550000_p_Instance +soc/core +_3077052c66550000_p_Instance +soc +_e0439d2b66550000_p_Instance +padframe +Warning: ./spef/chip_io.spef line 9225, syntax error, unexpected CAP, expecting KW_P or KW_I. +_1060052c66550000_p_Instance +rstb_level +_b005ff2b66550000_p_Instance +pll +_e00d252b66550000_p_Instance +housekeeping +Warning: ./spef/housekeeping.spef line 29368, pwr_ctrl_out[0] not connected to net housekeeping/pwr_ctrl_out[0]. +Warning: ./spef/housekeeping.spef line 29375, pwr_ctrl_out[0] not connected to net housekeeping/pwr_ctrl_out[0]. +Warning: ./spef/housekeeping.spef line 29383, pwr_ctrl_out[1] not connected to net housekeeping/pwr_ctrl_out[1]. +Warning: ./spef/housekeeping.spef line 29390, pwr_ctrl_out[1] not connected to net housekeeping/pwr_ctrl_out[1]. +Warning: ./spef/housekeeping.spef line 29398, pwr_ctrl_out[2] not connected to net housekeeping/pwr_ctrl_out[2]. +Warning: ./spef/housekeeping.spef line 29404, pwr_ctrl_out[2] not connected to net housekeeping/pwr_ctrl_out[2]. +Warning: ./spef/housekeeping.spef line 29412, pwr_ctrl_out[3] not connected to net housekeeping/pwr_ctrl_out[3]. +Warning: ./spef/housekeeping.spef line 29420, pwr_ctrl_out[3] not connected to net housekeeping/pwr_ctrl_out[3]. +_7089f82b66550000_p_Instance +mgmt_buffers/powergood_check +_e050f22b66550000_p_Instance +mgmt_buffers/mprj_logic_high_inst +_30aaf12b66550000_p_Instance +mgmt_buffers/mprj2_logic_high_inst +_30e46f2b66550000_p_Instance +mgmt_buffers +_7015c52a66550000_p_Instance +gpio_control_bidir_1[0] +Warning: ./spef/gpio_control_block.spef line 1360, one not connected to net gpio_control_bidir_1\[0\]/one. +Warning: ./spef/gpio_control_block.spef line 1394, one not connected to net gpio_control_bidir_1\[0\]/one. +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_bidir_1\[0\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_bidir_1\[0\]/zero. +_4063c52a66550000_p_Instance +gpio_control_bidir_1[1] +Warning: ./spef/gpio_control_block.spef line 1360, one not connected to net gpio_control_bidir_1\[1\]/one. +Warning: ./spef/gpio_control_block.spef line 1394, one not connected to net gpio_control_bidir_1\[1\]/one. +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_bidir_1\[1\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_bidir_1\[1\]/zero. +_90f5c92a66550000_p_Instance +gpio_control_bidir_2[1] +Warning: ./spef/gpio_control_block.spef line 1360, one not connected to net gpio_control_bidir_2\[1\]/one. +Warning: ./spef/gpio_control_block.spef line 1394, one not connected to net gpio_control_bidir_2\[1\]/one. +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_bidir_2\[1\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_bidir_2\[1\]/zero. +_b07ccc2a66550000_p_Instance +gpio_control_bidir_2[2] +Warning: ./spef/gpio_control_block.spef line 1360, one not connected to net gpio_control_bidir_2\[2\]/one. +Warning: ./spef/gpio_control_block.spef line 1394, one not connected to net gpio_control_bidir_2\[2\]/one. +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_bidir_2\[2\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_bidir_2\[2\]/zero. +_801dcf2a66550000_p_Instance +gpio_control_in_1[0] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[0\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[0\]/zero. +_80dbd12a66550000_p_Instance +gpio_control_in_1[10] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[10\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[10\]/zero. +_a07ed42a66550000_p_Instance +gpio_control_in_1[1] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[1\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[1\]/zero. +_0005d72a66550000_p_Instance +gpio_control_in_1[2] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[2\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[2\]/zero. +_e0a6d92a66550000_p_Instance +gpio_control_in_1[3] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[3\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[3\]/zero. +_f048dc2a66550000_p_Instance +gpio_control_in_1[4] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[4\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[4\]/zero. +_00ebde2a66550000_p_Instance +gpio_control_in_1[5] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[5\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[5\]/zero. +_108de12a66550000_p_Instance +gpio_control_in_1[6] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[6\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[6\]/zero. +_202fe42a66550000_p_Instance +gpio_control_in_1[7] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[7\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[7\]/zero. +_30d1e62a66550000_p_Instance +gpio_control_in_1[8] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[8\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[8\]/zero. +_4073e92a66550000_p_Instance +gpio_control_in_1[9] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[9\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[9\]/zero. +_00e7eb2a66550000_p_Instance +gpio_control_in_1a[0] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1a\[0\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1a\[0\]/zero. +_10b4ee2a66550000_p_Instance +gpio_control_in_1a[1] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1a\[1\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1a\[1\]/zero. +_f055f12a66550000_p_Instance +gpio_control_in_1a[2] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1a\[2\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1a\[2\]/zero. +_d0f7f32a66550000_p_Instance +gpio_control_in_1a[3] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1a\[3\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1a\[3\]/zero. +_00e6f62a66550000_p_Instance +gpio_control_in_1a[4] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1a\[4\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1a\[4\]/zero. +_c03df92a66550000_p_Instance +gpio_control_in_1a[5] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1a\[5\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1a\[5\]/zero. +_50b1fb2a66550000_p_Instance +gpio_control_in_2[0] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[0\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[0\]/zero. +_409bfe2a66550000_p_Instance +gpio_control_in_2[10] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[10\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[10\]/zero. +_8040012b66550000_p_Instance +gpio_control_in_2[11] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[11\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[11\]/zero. +_e0c8032b66550000_p_Instance +gpio_control_in_2[12] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[12\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[12\]/zero. +_f06a062b66550000_p_Instance +gpio_control_in_2[13] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[13\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[13\]/zero. +_000d092b66550000_p_Instance +gpio_control_in_2[14] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[14\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[14\]/zero. +_10af0b2b66550000_p_Instance +gpio_control_in_2[15] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[15\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[15\]/zero. +_d0220e2b66550000_p_Instance +gpio_control_in_2[1] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[1\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[1\]/zero. +_e0ef102b66550000_p_Instance +gpio_control_in_2[2] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[2\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[2\]/zero. +_e091132b66550000_p_Instance +gpio_control_in_2[3] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[3\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[3\]/zero. +_e033162b66550000_p_Instance +gpio_control_in_2[4] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[4\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[4\]/zero. +_e0d5182b66550000_p_Instance +gpio_control_in_2[5] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[5\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[5\]/zero. +_e0771b2b66550000_p_Instance +gpio_control_in_2[6] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[6\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[6\]/zero. +_e0191e2b66550000_p_Instance +gpio_control_in_2[7] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[7\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[7\]/zero. +_e0bb202b66550000_p_Instance +gpio_control_in_2[8] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[8\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[8\]/zero. +_e05d232b66550000_p_Instance +gpio_control_in_2[9] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[9\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[9\]/zero. +_a054432666550000_p_Instance +gpio_defaults_block_0 +Warning: ./spef/gpio_defaults_block_1803.spef line 114, gpio_defaults[0] not connected to net gpio_defaults_block_0/gpio_defaults_low\[0\]. +Warning: ./spef/gpio_defaults_block_1803.spef line 118, gpio_defaults[0] not connected to net gpio_defaults_block_0/gpio_defaults_low\[0\]. +Warning: ./spef/gpio_defaults_block_1803.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_0/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block_1803.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_0/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block_1803.spef line 139, gpio_defaults[11] not connected to net gpio_defaults_block_0/gpio_defaults_low\[11\]. +Warning: ./spef/gpio_defaults_block_1803.spef line 144, gpio_defaults[11] not connected to net gpio_defaults_block_0/gpio_defaults_low\[11\]. +Warning: ./spef/gpio_defaults_block_1803.spef line 152, gpio_defaults[12] not connected to net gpio_defaults_block_0/gpio_defaults_low\[12\]. +Warning: ./spef/gpio_defaults_block_1803.spef line 156, gpio_defaults[12] not connected to net gpio_defaults_block_0/gpio_defaults_low\[12\]. +_c064b62a66550000_p_Instance +gpio_defaults_block_1 +Warning: ./spef/gpio_defaults_block_1803.spef line 114, gpio_defaults[0] not connected to net gpio_defaults_block_1/gpio_defaults_low\[0\]. +Warning: ./spef/gpio_defaults_block_1803.spef line 118, gpio_defaults[0] not connected to net gpio_defaults_block_1/gpio_defaults_low\[0\]. +Warning: ./spef/gpio_defaults_block_1803.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_1/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block_1803.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_1/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block_1803.spef line 139, gpio_defaults[11] not connected to net gpio_defaults_block_1/gpio_defaults_low\[11\]. +Warning: ./spef/gpio_defaults_block_1803.spef line 144, gpio_defaults[11] not connected to net gpio_defaults_block_1/gpio_defaults_low\[11\]. +Warning: ./spef/gpio_defaults_block_1803.spef line 152, gpio_defaults[12] not connected to net gpio_defaults_block_1/gpio_defaults_low\[12\]. +Warning: ./spef/gpio_defaults_block_1803.spef line 156, gpio_defaults[12] not connected to net gpio_defaults_block_1/gpio_defaults_low\[12\]. +_c04bbc2a66550000_p_Instance +gpio_defaults_block_2 +Warning: ./spef/gpio_defaults_block_0403.spef line 114, gpio_defaults[0] not connected to net gpio_defaults_block_2/gpio_defaults_low\[0\]. +Warning: ./spef/gpio_defaults_block_0403.spef line 118, gpio_defaults[0] not connected to net gpio_defaults_block_2/gpio_defaults_low\[0\]. +_90adbc2a66550000_p_Instance +gpio_defaults_block_3 +Warning: ./spef/gpio_defaults_block_0403.spef line 114, gpio_defaults[0] not connected to net gpio_defaults_block_3/gpio_defaults_low\[0\]. +Warning: ./spef/gpio_defaults_block_0403.spef line 118, gpio_defaults[0] not connected to net gpio_defaults_block_3/gpio_defaults_low\[0\]. +_600fbd2a66550000_p_Instance +gpio_defaults_block_4 +Warning: ./spef/gpio_defaults_block_0403.spef line 114, gpio_defaults[0] not connected to net gpio_defaults_block_4/gpio_defaults_low\[0\]. +Warning: ./spef/gpio_defaults_block_0403.spef line 118, gpio_defaults[0] not connected to net gpio_defaults_block_4/gpio_defaults_low\[0\]. +_602cc32a66550000_p_Instance +gpio_defaults_block_5 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_5/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_5/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_5/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_5/gpio_defaults_high\[1\]. +_308ec32a66550000_p_Instance +gpio_defaults_block_6 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_6/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_6/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_6/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_6/gpio_defaults_high\[1\]. +_00f0c32a66550000_p_Instance +gpio_defaults_block_7 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_7/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_7/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_7/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_7/gpio_defaults_high\[1\]. +_d051c42a66550000_p_Instance +gpio_defaults_block_8 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_8/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_8/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_8/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_8/gpio_defaults_high\[1\]. +_a0b3c42a66550000_p_Instance +gpio_defaults_block_9 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_9/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_9/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_9/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_9/gpio_defaults_high\[1\]. +_d058b72a66550000_p_Instance +gpio_defaults_block_10 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_10/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_10/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_10/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_10/gpio_defaults_high\[1\]. +_b0b9b72a66550000_p_Instance +gpio_defaults_block_11 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_11/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_11/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_11/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_11/gpio_defaults_high\[1\]. +_901ab82a66550000_p_Instance +gpio_defaults_block_12 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_12/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_12/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_12/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_12/gpio_defaults_high\[1\]. +_707bb82a66550000_p_Instance +gpio_defaults_block_13 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_13/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_13/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_13/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_13/gpio_defaults_high\[1\]. +_50dcb82a66550000_p_Instance +gpio_defaults_block_14 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_14/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_14/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_14/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_14/gpio_defaults_high\[1\]. +_303db92a66550000_p_Instance +gpio_defaults_block_15 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_15/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_15/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_15/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_15/gpio_defaults_high\[1\]. +_009fb92a66550000_p_Instance +gpio_defaults_block_16 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_16/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_16/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_16/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_16/gpio_defaults_high\[1\]. +_d000ba2a66550000_p_Instance +gpio_defaults_block_17 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_17/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_17/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_17/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_17/gpio_defaults_high\[1\]. +_a062ba2a66550000_p_Instance +gpio_defaults_block_18 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_18/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_18/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_18/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_18/gpio_defaults_high\[1\]. +_70c4ba2a66550000_p_Instance +gpio_defaults_block_19 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_19/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_19/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_19/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_19/gpio_defaults_high\[1\]. +_4026bb2a66550000_p_Instance +gpio_defaults_block_20 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_20/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_20/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_20/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_20/gpio_defaults_high\[1\]. +_1088bb2a66550000_p_Instance +gpio_defaults_block_21 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_21/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_21/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_21/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_21/gpio_defaults_high\[1\]. +_f0e9bb2a66550000_p_Instance +gpio_defaults_block_22 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_22/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_22/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_22/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_22/gpio_defaults_high\[1\]. +_3071bd2a66550000_p_Instance +gpio_defaults_block_23 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_23/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_23/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_23/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_23/gpio_defaults_high\[1\]. +_00d3bd2a66550000_p_Instance +gpio_defaults_block_24 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_24/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_24/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_24/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_24/gpio_defaults_high\[1\]. +_d034be2a66550000_p_Instance +gpio_defaults_block_25 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_25/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_25/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_25/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_25/gpio_defaults_high\[1\]. +_a096be2a66550000_p_Instance +gpio_defaults_block_26 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_26/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_26/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_26/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_26/gpio_defaults_high\[1\]. +_70f8be2a66550000_p_Instance +gpio_defaults_block_27 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_27/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_27/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_27/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_27/gpio_defaults_high\[1\]. +_405abf2a66550000_p_Instance +gpio_defaults_block_28 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_28/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_28/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_28/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_28/gpio_defaults_high\[1\]. +_10bcbf2a66550000_p_Instance +gpio_defaults_block_29 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_29/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_29/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_29/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_29/gpio_defaults_high\[1\]. +_e01dc02a66550000_p_Instance +gpio_defaults_block_30 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_30/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_30/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_30/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_30/gpio_defaults_high\[1\]. +_b07fc02a66550000_p_Instance +gpio_defaults_block_31 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_31/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_31/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_31/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_31/gpio_defaults_high\[1\]. +_80e1c02a66550000_p_Instance +gpio_defaults_block_32 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_32/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_32/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_32/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_32/gpio_defaults_high\[1\]. +_5043c12a66550000_p_Instance +gpio_defaults_block_33 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_33/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_33/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_33/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_33/gpio_defaults_high\[1\]. +_20a5c12a66550000_p_Instance +gpio_defaults_block_34 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_34/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_34/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_34/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_34/gpio_defaults_high\[1\]. +_f006c22a66550000_p_Instance +gpio_defaults_block_35 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_35/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_35/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_35/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_35/gpio_defaults_high\[1\]. +_c068c22a66550000_p_Instance +gpio_defaults_block_36 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_36/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_36/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_36/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_36/gpio_defaults_high\[1\]. +_90cac22a66550000_p_Instance +gpio_defaults_block_37 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_37/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_37/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_37/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_37/gpio_defaults_high\[1\]. +Warning: ./spef/caravel.spef line 4115, *2810 not connected to net gpio_clock_1\[0\]. +Warning: ./spef/caravel.spef line 4116, *2803 not connected to net gpio_clock_1\[0\]. +Warning: ./spef/caravel.spef line 4187, *2803 not connected to net gpio_clock_1\[0\]. +Warning: ./spef/caravel.spef line 4194, *2810 not connected to net gpio_clock_1\[0\]. +Warning: ./spef/caravel.spef line 4218, *2805 not connected to net gpio_clock_1\[10\]. +Warning: ./spef/caravel.spef line 4219, *2804 not connected to net gpio_clock_1\[10\]. +Warning: ./spef/caravel.spef line 4286, *2804 not connected to net gpio_clock_1\[10\]. +Warning: ./spef/caravel.spef line 4298, *2805 not connected to net gpio_clock_1\[10\]. +Warning: ./spef/caravel.spef line 4323, *2806 not connected to net gpio_clock_1\[11\]. +Warning: ./spef/caravel.spef line 4324, *2805 not connected to net gpio_clock_1\[11\]. +Warning: ./spef/caravel.spef line 4415, *2805 not connected to net gpio_clock_1\[11\]. +Warning: ./spef/caravel.spef line 4423, *2806 not connected to net gpio_clock_1\[11\]. +Warning: ./spef/caravel.spef line 4443, *2807 not connected to net gpio_clock_1\[12\]. +Warning: ./spef/caravel.spef line 4446, *2806 not connected to net gpio_clock_1\[12\]. +Warning: ./spef/caravel.spef line 4502, *2806 not connected to net gpio_clock_1\[12\]. +Warning: ./spef/caravel.spef line 4519, *2807 not connected to net gpio_clock_1\[12\]. +Warning: ./spef/caravel.spef line 4531, *2808 not connected to net gpio_clock_1\[13\]. +Warning: ./spef/caravel.spef line 4532, *2807 not connected to net gpio_clock_1\[13\]. +Warning: ./spef/caravel.spef line 4594, *2807 not connected to net gpio_clock_1\[13\]. +Warning: ./spef/caravel.spef line 4603, *2808 not connected to net gpio_clock_1\[13\]. +Warning: ./spef/caravel.spef line 4629, *2809 not connected to net gpio_clock_1\[14\]. +Warning: ./spef/caravel.spef line 4630, *2808 not connected to net gpio_clock_1\[14\]. +Warning: ./spef/caravel.spef line 4685, *2808 not connected to net gpio_clock_1\[14\]. +Warning: ./spef/caravel.spef line 4688, *2809 not connected to net gpio_clock_1\[14\]. +Warning: ./spef/caravel.spef line 4710, *2783 not connected to net gpio_clock_1\[15\]. +Warning: ./spef/caravel.spef line 4711, *2809 not connected to net gpio_clock_1\[15\]. +Warning: ./spef/caravel.spef line 4904, *2809 not connected to net gpio_clock_1\[15\]. +Warning: ./spef/caravel.spef line 4906, *2783 not connected to net gpio_clock_1\[15\]. +Warning: ./spef/caravel.spef line 4935, *2784 not connected to net gpio_clock_1\[16\]. +Warning: ./spef/caravel.spef line 4936, *2783 not connected to net gpio_clock_1\[16\]. +Warning: ./spef/caravel.spef line 5008, *2783 not connected to net gpio_clock_1\[16\]. +Warning: ./spef/caravel.spef line 5009, *2784 not connected to net gpio_clock_1\[16\]. +Warning: ./spef/caravel.spef line 5022, *2784 not connected to net gpio_clock_1\[17\]. +Warning: ./spef/caravel.spef line 5023, *2785 not connected to net gpio_clock_1\[17\]. +Warning: ./spef/caravel.spef line 5121, *2785 not connected to net gpio_clock_1\[17\]. +Warning: ./spef/caravel.spef line 5127, *2784 not connected to net gpio_clock_1\[17\]. +Warning: ./spef/caravel.spef line 5137, *2785 not connected to net gpio_clock_1\[18\]. +Warning: ./spef/caravel.spef line 5190, *2785 not connected to net gpio_clock_1\[18\]. +Warning: ./spef/caravel.spef line 5202, *2811 not connected to net gpio_clock_1\[1\]. +Warning: ./spef/caravel.spef line 5203, *2810 not connected to net gpio_clock_1\[1\]. +Warning: ./spef/caravel.spef line 5289, *2810 not connected to net gpio_clock_1\[1\]. +Warning: ./spef/caravel.spef line 5297, *2811 not connected to net gpio_clock_1\[1\]. +Warning: ./spef/caravel.spef line 5321, *2812 not connected to net gpio_clock_1\[2\]. +Warning: ./spef/caravel.spef line 5324, *2811 not connected to net gpio_clock_1\[2\]. +Warning: ./spef/caravel.spef line 5411, *2811 not connected to net gpio_clock_1\[2\]. +Warning: ./spef/caravel.spef line 5434, *2812 not connected to net gpio_clock_1\[2\]. +Warning: ./spef/caravel.spef line 5446, *2813 not connected to net gpio_clock_1\[3\]. +Warning: ./spef/caravel.spef line 5447, *2812 not connected to net gpio_clock_1\[3\]. +Warning: ./spef/caravel.spef line 5504, *2812 not connected to net gpio_clock_1\[3\]. +Warning: ./spef/caravel.spef line 5511, *2813 not connected to net gpio_clock_1\[3\]. +Warning: ./spef/caravel.spef line 5535, *2814 not connected to net gpio_clock_1\[4\]. +Warning: ./spef/caravel.spef line 5536, *2813 not connected to net gpio_clock_1\[4\]. +Warning: ./spef/caravel.spef line 5599, *2813 not connected to net gpio_clock_1\[4\]. +Warning: ./spef/caravel.spef line 5611, *2814 not connected to net gpio_clock_1\[4\]. +Warning: ./spef/caravel.spef line 5630, *2815 not connected to net gpio_clock_1\[5\]. +Warning: ./spef/caravel.spef line 5631, *2814 not connected to net gpio_clock_1\[5\]. +Warning: ./spef/caravel.spef line 5713, *2814 not connected to net gpio_clock_1\[5\]. +Warning: ./spef/caravel.spef line 5719, *2815 not connected to net gpio_clock_1\[5\]. +Warning: ./spef/caravel.spef line 5737, *2814 not connected to net gpio_clock_1\[5\]. +Warning: ./spef/caravel.spef line 5749, *2816 not connected to net gpio_clock_1\[6\]. +Warning: ./spef/caravel.spef line 5750, *2815 not connected to net gpio_clock_1\[6\]. +Warning: ./spef/caravel.spef line 5835, *2815 not connected to net gpio_clock_1\[6\]. +Warning: ./spef/caravel.spef line 5845, *2816 not connected to net gpio_clock_1\[6\]. +Warning: ./spef/caravel.spef line 5859, *2815 not connected to net gpio_clock_1\[6\]. +Warning: ./spef/caravel.spef line 5871, *2817 not connected to net gpio_clock_1\[7\]. +Warning: ./spef/caravel.spef line 5872, *2816 not connected to net gpio_clock_1\[7\]. +Warning: ./spef/caravel.spef line 5970, *2816 not connected to net gpio_clock_1\[7\]. +Warning: ./spef/caravel.spef line 5977, *2817 not connected to net gpio_clock_1\[7\]. +Warning: ./spef/caravel.spef line 6002, *2818 not connected to net gpio_clock_1\[8\]. +Warning: ./spef/caravel.spef line 6003, *2817 not connected to net gpio_clock_1\[8\]. +Warning: ./spef/caravel.spef line 6077, *2817 not connected to net gpio_clock_1\[8\]. +Warning: ./spef/caravel.spef line 6082, *2818 not connected to net gpio_clock_1\[8\]. +Warning: ./spef/caravel.spef line 6107, *2804 not connected to net gpio_clock_1\[9\]. +Warning: ./spef/caravel.spef line 6108, *2818 not connected to net gpio_clock_1\[9\]. +Warning: ./spef/caravel.spef line 6166, *2818 not connected to net gpio_clock_1\[9\]. +Warning: ./spef/caravel.spef line 6168, *2804 not connected to net gpio_clock_1\[9\]. +Warning: ./spef/caravel.spef line 6189, *2803 not connected to net gpio_clock_1_shifted\[0\]. +Warning: ./spef/caravel.spef line 6233, *2803 not connected to net gpio_clock_1_shifted\[0\]. +Warning: ./spef/caravel.spef line 6239, instance gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 6243, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 6248, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 6253, instance gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 6257, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 6265, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 6270, instance gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 6274, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 6284, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 6289, instance gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 6293, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 6302, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 6307, instance gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 6311, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 6318, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 6323, instance gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6327, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6335, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6340, instance gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6344, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6351, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6356, instance gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6360, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6369, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6374, instance gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6378, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6385, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6390, instance gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6394, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6400, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6405, instance gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6409, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6414, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6419, instance gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 6423, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 6432, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 6437, instance gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6441, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6445, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6450, instance gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6454, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6460, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6465, instance gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6469, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6476, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6481, instance gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6485, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6492, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6497, instance gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6501, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6508, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6513, instance gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6517, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6525, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6530, instance gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6534, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6539, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6544, instance gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6548, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6554, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6561, instance gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6565, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6573, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6578, instance gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6582, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6591, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6596, instance gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 6600, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 6608, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 6613, instance gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6617, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6625, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6630, instance gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6634, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6641, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6646, instance gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6650, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6655, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6660, instance gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6664, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6668, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6673, instance gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6677, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6683, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6688, instance gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6692, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6699, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6704, instance gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6708, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6715, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6720, instance gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6724, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6730, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6735, instance gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6739, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6746, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6751, instance gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6755, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6761, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6766, instance gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 6770, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 6777, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 6782, instance gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6786, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6792, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6797, instance gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6801, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6809, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6814, instance gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6818, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6826, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6831, instance gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6835, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6843, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6848, instance gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6852, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6858, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6863, instance gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6867, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6873, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6878, instance gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6882, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6886, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6891, instance gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6895, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6901, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6906, instance gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6910, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6916, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6921, instance gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6925, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6931, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6936, instance gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 6940, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 6946, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 6953, instance gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6957, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6963, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6968, instance gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6972, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6978, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6983, instance gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6987, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6993, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6998, instance gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7002, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7007, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7012, instance gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7016, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7022, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7027, instance gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7031, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7039, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7044, instance gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7048, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7055, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7060, instance gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7064, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7071, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7076, instance gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7080, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7085, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7090, instance gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7094, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7098, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7103, instance gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7107, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7114, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7119, instance gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7123, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7129, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7134, instance gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7138, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7144, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7149, instance gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7153, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7159, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7164, instance gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7168, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7174, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7179, instance gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7183, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7191, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7196, instance gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7200, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7205, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7211, instance gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7215, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7221, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7226, instance gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7230, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7239, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7244, instance gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7248, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7256, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7261, instance gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7265, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7273, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7278, instance gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7282, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7288, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7293, instance gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7297, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7304, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7309, instance gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7313, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7320, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7325, instance gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7329, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7333, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7338, instance gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7342, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7348, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7353, instance gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7357, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7364, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7369, instance gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7373, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7381, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7386, instance gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7390, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7397, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7402, instance gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7406, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7413, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7418, instance gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7422, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7428, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7433, instance gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7437, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7443, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7448, instance gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7452, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7460, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7465, instance gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7469, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7477, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7482, instance gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7486, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7494, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7499, instance gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7503, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7511, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7516, instance gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7520, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7526, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7531, instance gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7535, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7541, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7546, instance gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7550, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7554, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7559, instance gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7563, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7569, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7574, instance gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7578, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7584, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7589, instance gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7593, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7599, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7604, instance gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7608, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7614, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7619, instance gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7623, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7629, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7634, instance gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7638, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7644, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7649, instance gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7653, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7659, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7664, instance gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7668, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7674, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7681, instance gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7685, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7692, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7697, instance gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7701, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7710, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7715, instance gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7719, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7726, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7731, instance gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7735, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7742, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7747, instance gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7751, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7756, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7761, instance gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7765, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7769, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7774, instance gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7778, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7784, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7789, instance gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7793, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7799, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7804, instance gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7808, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7815, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7820, instance gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7824, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7830, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7835, instance gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7839, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7846, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7851, instance gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7855, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7861, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7866, instance gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7870, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7877, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7882, instance gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7886, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7889, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7894, instance gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7898, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7902, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7907, instance gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7911, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7915, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7920, instance gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7924, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7928, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7933, instance gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7937, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7941, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7946, instance gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7950, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7954, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7959, instance gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 7963, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 7969, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 7974, instance gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7978, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7982, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7987, instance gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7991, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7995, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8000, instance gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8004, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8008, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8013, instance gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8017, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8021, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8026, instance gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8030, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8034, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8039, instance gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8043, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8047, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8052, instance gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8056, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8060, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8065, instance gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8069, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8072, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8077, instance gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8081, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8084, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8089, instance gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8093, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8097, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8102, instance gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8106, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8112, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8117, instance gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8121, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8125, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8130, instance gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8134, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8138, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8143, instance gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8147, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8151, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8156, instance gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8160, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8164, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8169, instance gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8173, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8177, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8182, instance gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8186, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8190, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8195, instance gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8199, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8203, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8208, instance gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8212, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8216, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8221, instance gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8225, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8229, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8234, instance gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8238, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8242, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8247, instance gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8251, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8257, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8262, instance gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8266, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8269, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8274, instance gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8278, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8281, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8286, instance gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8290, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8294, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8299, instance gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8303, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8307, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8312, instance gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8316, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8320, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8325, instance gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8329, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8333, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8338, instance gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8342, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8346, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8351, instance gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8355, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8359, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8364, instance gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8368, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8372, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8377, instance gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8381, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8385, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8390, instance gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8394, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8400, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8405, instance gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8409, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8413, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8418, instance gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8422, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8426, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8431, instance gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8435, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8439, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8444, instance gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8448, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8451, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8456, instance gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8460, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8463, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8468, instance gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8472, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8476, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8481, instance gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8485, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8489, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8494, instance gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8498, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8502, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8507, instance gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8511, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8515, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8520, instance gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8524, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8528, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8533, instance gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8537, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8544, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8549, instance gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8553, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8557, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8562, instance gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8566, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8570, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8575, instance gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8579, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8583, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8588, instance gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8592, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8596, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8601, instance gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8605, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8609, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8614, instance gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8618, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8622, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8627, instance gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8631, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8634, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8639, instance gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8643, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8646, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8651, instance gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8655, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8659, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8664, instance gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8668, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8672, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8677, instance gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8681, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8685, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8690, instance gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8694, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8698, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8703, instance gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8707, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8711, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8716, instance gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8720, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8724, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8729, instance gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8733, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8737, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8742, instance gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8746, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8750, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8755, instance gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8759, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8763, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8768, instance gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8772, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8776, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8781, instance gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8785, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8789, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8794, instance gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8798, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8802, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8807, instance gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8811, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8814, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8819, instance gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8823, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8829, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8834, instance gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8838, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8841, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8846, instance gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8850, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8854, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8859, instance gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8863, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8867, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8872, instance gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8876, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8880, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8885, instance gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8889, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8893, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8898, instance gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8902, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8906, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8911, instance gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8915, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8919, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8924, instance gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8928, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8932, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8937, instance gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8941, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8945, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8950, instance gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8954, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8958, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8963, instance gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 8967, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 8972, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 8977, instance gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8981, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8985, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8990, instance gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8994, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8998, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 9003, instance gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 9007, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 9010, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 9015, instance gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9019, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9022, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9027, instance gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9031, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9035, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9040, instance gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9044, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9048, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9053, instance gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9057, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9061, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9066, instance gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9070, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9074, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9079, instance gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9083, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9087, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9092, instance gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9096, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9100, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9105, instance gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9109, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9117, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9122, instance gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9126, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9130, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9135, instance gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9139, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9143, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9148, instance gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9152, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9156, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9161, instance gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9165, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9169, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9174, instance gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9178, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9182, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9187, instance gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9191, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9194, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9199, instance gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9203, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9210, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9215, instance gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9219, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9222, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9227, instance gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9231, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9239, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9244, instance gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9248, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9255, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9260, instance gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9264, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9268, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9273, instance gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9277, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9281, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9286, instance gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9290, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9294, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9299, instance gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9303, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9307, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9312, instance gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9316, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9320, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9325, instance gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9329, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9333, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9338, instance gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9342, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9346, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9351, instance gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9355, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9359, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9364, instance gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9368, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9372, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9377, instance gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9381, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9385, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9390, instance gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9394, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9400, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9405, instance gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9409, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9413, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9418, instance gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9422, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9425, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9430, instance gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9434, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9437, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9442, instance gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9446, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9450, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9455, instance gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9459, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9463, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9468, instance gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9472, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9476, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9481, instance gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9485, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9489, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9494, instance gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9498, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9502, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9507, instance gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9511, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9515, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9520, instance gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9524, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9528, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9533, instance gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9537, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9543, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9548, instance gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9552, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9556, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9561, instance gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9565, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9569, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9574, instance gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9578, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9582, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9587, instance gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9591, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9595, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9600, instance gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9604, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9607, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9612, instance gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9616, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9620, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9625, instance gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9629, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9633, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9638, instance gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9642, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9646, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9651, instance gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9655, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9659, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9664, instance gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9668, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9672, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9677, instance gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9681, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9685, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9690, instance gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9694, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9698, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9703, instance gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9707, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9711, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9716, instance gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9720, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9724, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9729, instance gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9733, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9737, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9742, instance gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9746, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9750, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9755, instance gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9759, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9763, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9768, instance gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9772, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9776, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9781, instance gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9785, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9788, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9793, instance gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9797, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9800, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9805, instance gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9809, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9813, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9818, instance gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9822, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9828, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9833, instance gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9837, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9841, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9846, instance gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9850, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9854, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9859, instance gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9863, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9867, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9872, instance gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9876, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9880, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9885, instance gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9889, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9893, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9898, instance gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9902, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9906, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9911, instance gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9915, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9919, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9924, instance gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9928, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9932, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9937, instance gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9941, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9945, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9950, instance gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9954, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9958, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9963, instance gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9967, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9973, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9978, instance gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9982, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9985, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9990, instance gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 9994, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 9997, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10002, instance gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10006, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10010, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10015, instance gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10019, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10023, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10028, instance gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10032, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10036, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10041, instance gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10045, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10049, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10054, instance gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10058, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10062, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10067, instance gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10071, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10075, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10080, instance gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10084, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10088, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10093, instance gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10097, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10101, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10106, instance gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 10110, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 10117, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 10122, instance gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10126, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10130, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10135, instance gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10139, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10143, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10148, instance gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10152, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10156, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10161, instance gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10165, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10168, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10173, instance gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10177, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10180, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10185, instance gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10189, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10193, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10198, instance gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10202, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10206, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10211, instance gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10215, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10219, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10224, instance gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10228, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10232, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10237, instance gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10241, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10245, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10250, instance gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 10254, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 10260, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 10265, instance gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10269, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10273, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10278, instance gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10282, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10286, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10291, instance gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10295, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10299, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10304, instance gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10308, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10312, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10317, instance gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10321, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10325, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10330, instance gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10334, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10338, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10343, instance gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10347, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10350, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10355, instance gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10359, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10363, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10368, instance gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10372, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10376, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10381, instance gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10385, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10389, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10394, instance gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 10398, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 10404, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 10409, instance gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10413, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10417, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10422, instance gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10426, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10430, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10435, instance gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10439, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10443, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10448, instance gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10452, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10456, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10461, instance gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10465, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10469, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10474, instance gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10478, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10482, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10487, instance gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10491, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10495, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10500, instance gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10504, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10508, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10513, instance gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10517, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10521, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10526, instance gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10530, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10533, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10538, instance gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 10542, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 10547, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 10552, instance gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10556, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10560, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10565, instance gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10569, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10573, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10578, instance gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10582, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10586, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10591, instance gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10595, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10599, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10604, instance gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10608, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10612, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10617, instance gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10621, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10625, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10630, instance gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10634, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10638, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10643, instance gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10647, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10651, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10656, instance gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10660, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10664, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10669, instance gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10673, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10677, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10682, instance gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 10686, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 10690, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 10695, instance gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 10699, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 10707, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 10712, instance gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10716, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10720, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10725, instance gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10729, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10733, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10738, instance gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10742, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10745, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10750, instance gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10754, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10757, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10762, instance gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10766, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10770, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10775, instance gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10779, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10783, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10788, instance gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10792, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10796, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10801, instance gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10805, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10809, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10814, instance gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10818, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10822, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10827, instance gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10831, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10835, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10840, instance gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 10844, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 10851, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 10856, instance gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10860, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10864, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10869, instance gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10873, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10877, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10882, instance gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10886, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10890, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10895, instance gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10899, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10903, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10908, instance gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10912, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10916, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10921, instance gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10925, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10928, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10933, instance gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 10937, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 10940, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 10945, instance gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 10949, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 10953, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 10958, instance gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 10962, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 10966, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 10971, instance gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 10975, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 10979, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 10984, instance gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 10988, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 10996, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11001, instance gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11005, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11009, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11014, instance gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11018, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11022, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11027, instance gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11031, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11035, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11040, instance gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11044, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11048, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11053, instance gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11057, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11061, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11066, instance gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11070, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11074, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11079, instance gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11083, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11087, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11092, instance gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11096, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11100, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11105, instance gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11109, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11112, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11117, instance gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11121, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11124, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11129, instance gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11133, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11141, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11146, instance gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11150, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11154, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11159, instance gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11163, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11167, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11172, instance gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11176, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11180, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11185, instance gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11189, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11193, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11198, instance gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11202, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11206, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11211, instance gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11215, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11219, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11224, instance gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11228, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11232, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11237, instance gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11241, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11245, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11250, instance gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11254, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11258, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11263, instance gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11267, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11271, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11276, instance gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11280, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11286, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11291, instance gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11295, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11299, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11304, instance gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11308, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11311, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11316, instance gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11320, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11323, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11328, instance gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11332, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11336, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11341, instance gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11345, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11349, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11354, instance gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11358, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11362, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11367, instance gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11371, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11375, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11380, instance gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11384, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11388, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11393, instance gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11397, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11401, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11406, instance gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11410, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11414, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11419, instance gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11423, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11428, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11433, instance gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11437, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11441, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11446, instance gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11450, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11454, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11459, instance gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11463, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11467, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11472, instance gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11476, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11480, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11485, instance gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11489, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11492, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11497, instance gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11501, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11504, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11509, instance gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11513, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11517, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11522, instance gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11526, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11530, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11535, instance gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11539, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11543, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11548, instance gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11552, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11556, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11561, instance gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11565, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11569, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11574, instance gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11578, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11582, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11587, instance gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11591, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11595, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11600, instance gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11604, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11608, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11613, instance gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11617, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11621, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11626, instance gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11630, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11634, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11639, instance gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11643, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11647, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11652, instance gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11656, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11660, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11665, instance gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11669, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11672, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11677, instance gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11681, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11685, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11690, instance gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11694, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11696, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11701, instance gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11705, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11711, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11716, instance gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11720, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11722, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11727, instance gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11731, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11733, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11738, instance gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11742, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11744, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11749, instance gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11753, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11755, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11760, instance gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11764, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11766, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11771, instance gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11775, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11777, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11782, instance gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11786, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11788, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11793, instance gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11797, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11799, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11804, instance gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11808, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11810, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11815, instance gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11819, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11821, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11826, instance gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11830, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11837, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11842, instance gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11846, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11848, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11853, instance gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11857, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11860, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11865, instance gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11869, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11873, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11878, instance gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11882, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11886, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11891, instance gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11895, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11899, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11904, instance gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11908, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11912, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11917, instance gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11921, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11925, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11930, instance gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11934, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11938, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11943, instance gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11947, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11951, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11956, instance gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11960, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11964, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11969, instance gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11973, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11979, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11984, instance gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11988, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11992, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11997, instance gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 12001, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 12005, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 12010, instance gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 12014, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 12018, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 12023, instance gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 12027, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 12030, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 12035, instance gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 12039, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 12045, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 12050, instance gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12054, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12061, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12066, instance gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 12070, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 12077, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 12082, instance gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 12086, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 12090, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 12095, instance gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12099, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12104, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12109, instance gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12113, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12119, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12124, instance gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12128, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12133, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12138, instance gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12142, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12150, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12155, instance gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12159, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12165, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12170, instance gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12174, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12180, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12185, instance gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12189, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12193, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12198, instance gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12202, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12208, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12213, instance gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12217, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12223, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12228, instance gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12232, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12238, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12243, instance gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12247, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12253, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12258, instance gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12262, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12268, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12273, instance gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12277, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12283, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12288, instance gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12292, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12296, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12301, instance gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12305, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12310, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12315, instance gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12319, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12326, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12331, instance gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12335, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12341, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12346, instance gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12350, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12358, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12363, instance gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12367, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12373, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12378, instance gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12382, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12386, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12391, instance gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12395, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12401, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12406, instance gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12410, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12414, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12419, instance gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12423, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12429, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12434, instance gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12438, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12444, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12449, instance gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12453, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12459, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12464, instance gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12468, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12475, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12480, instance gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12484, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12489, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12494, instance gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12498, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12504, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12509, instance gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12513, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12519, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12524, instance gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12528, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12536, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12541, instance gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12545, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12551, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12556, instance gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12560, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12568, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12573, instance gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12577, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12585, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12590, instance gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12594, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12600, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12605, instance gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12609, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12614, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12619, instance gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12623, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12627, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12632, instance gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12636, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12642, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12647, instance gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12651, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12658, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12663, instance gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12667, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12674, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12679, instance gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12683, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12689, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12694, instance gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12698, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12705, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12710, instance gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12714, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12720, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12725, instance gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12729, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12733, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12738, instance gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12742, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12749, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12754, instance gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12758, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12764, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12769, instance gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12773, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12781, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12786, instance gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12790, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12799, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12804, instance gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12808, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12816, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12821, instance gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12825, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12831, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12836, instance gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12840, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12844, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12849, instance gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12853, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12859, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12864, instance gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12868, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12874, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12879, instance gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12883, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12890, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12953, *2810 not connected to net gpio_load_1\[0\]. +Warning: ./spef/caravel.spef line 12954, *2803 not connected to net gpio_load_1\[0\]. +Warning: ./spef/caravel.spef line 13023, *2803 not connected to net gpio_load_1\[0\]. +Warning: ./spef/caravel.spef line 13029, *2810 not connected to net gpio_load_1\[0\]. +Warning: ./spef/caravel.spef line 13051, *2805 not connected to net gpio_load_1\[10\]. +Warning: ./spef/caravel.spef line 13054, *2804 not connected to net gpio_load_1\[10\]. +Warning: ./spef/caravel.spef line 13171, *2804 not connected to net gpio_load_1\[10\]. +Warning: ./spef/caravel.spef line 13193, *2805 not connected to net gpio_load_1\[10\]. +Warning: ./spef/caravel.spef line 13205, *2806 not connected to net gpio_load_1\[11\]. +Warning: ./spef/caravel.spef line 13206, *2805 not connected to net gpio_load_1\[11\]. +Warning: ./spef/caravel.spef line 13337, *2805 not connected to net gpio_load_1\[11\]. +Warning: ./spef/caravel.spef line 13344, *2806 not connected to net gpio_load_1\[11\]. +Warning: ./spef/caravel.spef line 13366, *2807 not connected to net gpio_load_1\[12\]. +Warning: ./spef/caravel.spef line 13367, *2806 not connected to net gpio_load_1\[12\]. +Warning: ./spef/caravel.spef line 13471, *2806 not connected to net gpio_load_1\[12\]. +Warning: ./spef/caravel.spef line 13474, *2807 not connected to net gpio_load_1\[12\]. +Warning: ./spef/caravel.spef line 13497, *2808 not connected to net gpio_load_1\[13\]. +Warning: ./spef/caravel.spef line 13498, *2807 not connected to net gpio_load_1\[13\]. +Warning: ./spef/caravel.spef line 13569, *2807 not connected to net gpio_load_1\[13\]. +Warning: ./spef/caravel.spef line 13577, *2808 not connected to net gpio_load_1\[13\]. +Warning: ./spef/caravel.spef line 13594, *2807 not connected to net gpio_load_1\[13\]. +Warning: ./spef/caravel.spef line 13606, *2809 not connected to net gpio_load_1\[14\]. +Warning: ./spef/caravel.spef line 13607, *2808 not connected to net gpio_load_1\[14\]. +Warning: ./spef/caravel.spef line 13675, *2808 not connected to net gpio_load_1\[14\]. +Warning: ./spef/caravel.spef line 13678, *2809 not connected to net gpio_load_1\[14\]. +Warning: ./spef/caravel.spef line 13706, *2783 not connected to net gpio_load_1\[15\]. +Warning: ./spef/caravel.spef line 13707, *2809 not connected to net gpio_load_1\[15\]. +Warning: ./spef/caravel.spef line 13766, *2809 not connected to net gpio_load_1\[15\]. +Warning: ./spef/caravel.spef line 13768, *2783 not connected to net gpio_load_1\[15\]. +Warning: ./spef/caravel.spef line 13791, *2784 not connected to net gpio_load_1\[16\]. +Warning: ./spef/caravel.spef line 13792, *2783 not connected to net gpio_load_1\[16\]. +Warning: ./spef/caravel.spef line 13853, *2783 not connected to net gpio_load_1\[16\]. +Warning: ./spef/caravel.spef line 13854, *2784 not connected to net gpio_load_1\[16\]. +Warning: ./spef/caravel.spef line 13867, *2785 not connected to net gpio_load_1\[17\]. +Warning: ./spef/caravel.spef line 13868, *2784 not connected to net gpio_load_1\[17\]. +Warning: ./spef/caravel.spef line 13939, *2784 not connected to net gpio_load_1\[17\]. +Warning: ./spef/caravel.spef line 13940, *2785 not connected to net gpio_load_1\[17\]. +Warning: ./spef/caravel.spef line 13950, *2785 not connected to net gpio_load_1\[18\]. +Warning: ./spef/caravel.spef line 13989, *2785 not connected to net gpio_load_1\[18\]. +Warning: ./spef/caravel.spef line 14001, *2811 not connected to net gpio_load_1\[1\]. +Warning: ./spef/caravel.spef line 14002, *2810 not connected to net gpio_load_1\[1\]. +Warning: ./spef/caravel.spef line 14080, *2810 not connected to net gpio_load_1\[1\]. +Warning: ./spef/caravel.spef line 14088, *2811 not connected to net gpio_load_1\[1\]. +Warning: ./spef/caravel.spef line 14114, *2812 not connected to net gpio_load_1\[2\]. +Warning: ./spef/caravel.spef line 14117, *2811 not connected to net gpio_load_1\[2\]. +Warning: ./spef/caravel.spef line 14211, *2811 not connected to net gpio_load_1\[2\]. +Warning: ./spef/caravel.spef line 14234, *2812 not connected to net gpio_load_1\[2\]. +Warning: ./spef/caravel.spef line 14246, *2813 not connected to net gpio_load_1\[3\]. +Warning: ./spef/caravel.spef line 14247, *2812 not connected to net gpio_load_1\[3\]. +Warning: ./spef/caravel.spef line 14313, *2812 not connected to net gpio_load_1\[3\]. +Warning: ./spef/caravel.spef line 14319, *2813 not connected to net gpio_load_1\[3\]. +Warning: ./spef/caravel.spef line 14344, *2814 not connected to net gpio_load_1\[4\]. +Warning: ./spef/caravel.spef line 14345, *2813 not connected to net gpio_load_1\[4\]. +Warning: ./spef/caravel.spef line 14412, *2813 not connected to net gpio_load_1\[4\]. +Warning: ./spef/caravel.spef line 14422, *2814 not connected to net gpio_load_1\[4\]. +Warning: ./spef/caravel.spef line 14442, *2815 not connected to net gpio_load_1\[5\]. +Warning: ./spef/caravel.spef line 14443, *2814 not connected to net gpio_load_1\[5\]. +Warning: ./spef/caravel.spef line 14525, *2814 not connected to net gpio_load_1\[5\]. +Warning: ./spef/caravel.spef line 14527, *2815 not connected to net gpio_load_1\[5\]. +Warning: ./spef/caravel.spef line 14554, *2816 not connected to net gpio_load_1\[6\]. +Warning: ./spef/caravel.spef line 14555, *2815 not connected to net gpio_load_1\[6\]. +Warning: ./spef/caravel.spef line 14608, *2815 not connected to net gpio_load_1\[6\]. +Warning: ./spef/caravel.spef line 14609, *2816 not connected to net gpio_load_1\[6\]. +Warning: ./spef/caravel.spef line 14634, *2817 not connected to net gpio_load_1\[7\]. +Warning: ./spef/caravel.spef line 14635, *2816 not connected to net gpio_load_1\[7\]. +Warning: ./spef/caravel.spef line 14766, *2816 not connected to net gpio_load_1\[7\]. +Warning: ./spef/caravel.spef line 14769, *2817 not connected to net gpio_load_1\[7\]. +Warning: ./spef/caravel.spef line 14801, *2818 not connected to net gpio_load_1\[8\]. +Warning: ./spef/caravel.spef line 14802, *2817 not connected to net gpio_load_1\[8\]. +Warning: ./spef/caravel.spef line 14917, *2817 not connected to net gpio_load_1\[8\]. +Warning: ./spef/caravel.spef line 14919, *2818 not connected to net gpio_load_1\[8\]. +Warning: ./spef/caravel.spef line 14943, *2804 not connected to net gpio_load_1\[9\]. +Warning: ./spef/caravel.spef line 14946, *2818 not connected to net gpio_load_1\[9\]. +Warning: ./spef/caravel.spef line 15070, *2818 not connected to net gpio_load_1\[9\]. +Warning: ./spef/caravel.spef line 15092, *2804 not connected to net gpio_load_1\[9\]. +Warning: ./spef/caravel.spef line 15102, *2803 not connected to net gpio_load_1_shifted\[0\]. +Warning: ./spef/caravel.spef line 15151, *2803 not connected to net gpio_load_1_shifted\[0\]. +Warning: ./spef/caravel.spef line 15268, *2810 not connected to net gpio_resetn_1\[0\]. +Warning: ./spef/caravel.spef line 15271, *2803 not connected to net gpio_resetn_1\[0\]. +Warning: ./spef/caravel.spef line 15345, *2803 not connected to net gpio_resetn_1\[0\]. +Warning: ./spef/caravel.spef line 15366, *2810 not connected to net gpio_resetn_1\[0\]. +Warning: ./spef/caravel.spef line 15378, *2805 not connected to net gpio_resetn_1\[10\]. +Warning: ./spef/caravel.spef line 15379, *2804 not connected to net gpio_resetn_1\[10\]. +Warning: ./spef/caravel.spef line 15455, *2804 not connected to net gpio_resetn_1\[10\]. +Warning: ./spef/caravel.spef line 15465, *2805 not connected to net gpio_resetn_1\[10\]. +Warning: ./spef/caravel.spef line 15490, *2806 not connected to net gpio_resetn_1\[11\]. +Warning: ./spef/caravel.spef line 15491, *2805 not connected to net gpio_resetn_1\[11\]. +Warning: ./spef/caravel.spef line 15578, *2805 not connected to net gpio_resetn_1\[11\]. +Warning: ./spef/caravel.spef line 15589, *2806 not connected to net gpio_resetn_1\[11\]. +Warning: ./spef/caravel.spef line 15614, *2807 not connected to net gpio_resetn_1\[12\]. +Warning: ./spef/caravel.spef line 15615, *2806 not connected to net gpio_resetn_1\[12\]. +Warning: ./spef/caravel.spef line 15679, *2806 not connected to net gpio_resetn_1\[12\]. +Warning: ./spef/caravel.spef line 15682, *2807 not connected to net gpio_resetn_1\[12\]. +Warning: ./spef/caravel.spef line 15708, *2808 not connected to net gpio_resetn_1\[13\]. +Warning: ./spef/caravel.spef line 15709, *2807 not connected to net gpio_resetn_1\[13\]. +Warning: ./spef/caravel.spef line 15770, *2807 not connected to net gpio_resetn_1\[13\]. +Warning: ./spef/caravel.spef line 15779, *2808 not connected to net gpio_resetn_1\[13\]. +Warning: ./spef/caravel.spef line 15805, *2809 not connected to net gpio_resetn_1\[14\]. +Warning: ./spef/caravel.spef line 15806, *2808 not connected to net gpio_resetn_1\[14\]. +Warning: ./spef/caravel.spef line 15866, *2808 not connected to net gpio_resetn_1\[14\]. +Warning: ./spef/caravel.spef line 15870, *2809 not connected to net gpio_resetn_1\[14\]. +Warning: ./spef/caravel.spef line 15892, *2783 not connected to net gpio_resetn_1\[15\]. +Warning: ./spef/caravel.spef line 15893, *2809 not connected to net gpio_resetn_1\[15\]. +Warning: ./spef/caravel.spef line 15950, *2809 not connected to net gpio_resetn_1\[15\]. +Warning: ./spef/caravel.spef line 15953, *2783 not connected to net gpio_resetn_1\[15\]. +Warning: ./spef/caravel.spef line 15976, *2784 not connected to net gpio_resetn_1\[16\]. +Warning: ./spef/caravel.spef line 15977, *2783 not connected to net gpio_resetn_1\[16\]. +Warning: ./spef/caravel.spef line 16051, *2783 not connected to net gpio_resetn_1\[16\]. +Warning: ./spef/caravel.spef line 16052, *2784 not connected to net gpio_resetn_1\[16\]. +Warning: ./spef/caravel.spef line 16065, *2785 not connected to net gpio_resetn_1\[17\]. +Warning: ./spef/caravel.spef line 16066, *2784 not connected to net gpio_resetn_1\[17\]. +Warning: ./spef/caravel.spef line 16154, *2784 not connected to net gpio_resetn_1\[17\]. +Warning: ./spef/caravel.spef line 16158, *2785 not connected to net gpio_resetn_1\[17\]. +Warning: ./spef/caravel.spef line 16168, *2785 not connected to net gpio_resetn_1\[18\]. +Warning: ./spef/caravel.spef line 16255, *2785 not connected to net gpio_resetn_1\[18\]. +Warning: ./spef/caravel.spef line 16267, *2811 not connected to net gpio_resetn_1\[1\]. +Warning: ./spef/caravel.spef line 16268, *2810 not connected to net gpio_resetn_1\[1\]. +Warning: ./spef/caravel.spef line 16336, *2810 not connected to net gpio_resetn_1\[1\]. +Warning: ./spef/caravel.spef line 16344, *2811 not connected to net gpio_resetn_1\[1\]. +Warning: ./spef/caravel.spef line 16366, *2812 not connected to net gpio_resetn_1\[2\]. +Warning: ./spef/caravel.spef line 16369, *2811 not connected to net gpio_resetn_1\[2\]. +Warning: ./spef/caravel.spef line 16421, *2811 not connected to net gpio_resetn_1\[2\]. +Warning: ./spef/caravel.spef line 16437, *2812 not connected to net gpio_resetn_1\[2\]. +Warning: ./spef/caravel.spef line 16449, *2813 not connected to net gpio_resetn_1\[3\]. +Warning: ./spef/caravel.spef line 16450, *2812 not connected to net gpio_resetn_1\[3\]. +Warning: ./spef/caravel.spef line 16518, *2812 not connected to net gpio_resetn_1\[3\]. +Warning: ./spef/caravel.spef line 16525, *2813 not connected to net gpio_resetn_1\[3\]. +Warning: ./spef/caravel.spef line 16549, *2814 not connected to net gpio_resetn_1\[4\]. +Warning: ./spef/caravel.spef line 16550, *2813 not connected to net gpio_resetn_1\[4\]. +Warning: ./spef/caravel.spef line 16609, *2813 not connected to net gpio_resetn_1\[4\]. +Warning: ./spef/caravel.spef line 16621, *2814 not connected to net gpio_resetn_1\[4\]. +Warning: ./spef/caravel.spef line 16640, *2815 not connected to net gpio_resetn_1\[5\]. +Warning: ./spef/caravel.spef line 16641, *2814 not connected to net gpio_resetn_1\[5\]. +Warning: ./spef/caravel.spef line 16724, *2814 not connected to net gpio_resetn_1\[5\]. +Warning: ./spef/caravel.spef line 16730, *2815 not connected to net gpio_resetn_1\[5\]. +Warning: ./spef/caravel.spef line 16757, *2816 not connected to net gpio_resetn_1\[6\]. +Warning: ./spef/caravel.spef line 16758, *2815 not connected to net gpio_resetn_1\[6\]. +Warning: ./spef/caravel.spef line 16848, *2815 not connected to net gpio_resetn_1\[6\]. +Warning: ./spef/caravel.spef line 16853, *2816 not connected to net gpio_resetn_1\[6\]. +Warning: ./spef/caravel.spef line 16878, *2817 not connected to net gpio_resetn_1\[7\]. +Warning: ./spef/caravel.spef line 16879, *2816 not connected to net gpio_resetn_1\[7\]. +Warning: ./spef/caravel.spef line 16957, *2816 not connected to net gpio_resetn_1\[7\]. +Warning: ./spef/caravel.spef line 16959, *2817 not connected to net gpio_resetn_1\[7\]. +Warning: ./spef/caravel.spef line 16984, *2818 not connected to net gpio_resetn_1\[8\]. +Warning: ./spef/caravel.spef line 16987, *2817 not connected to net gpio_resetn_1\[8\]. +Warning: ./spef/caravel.spef line 17065, *2817 not connected to net gpio_resetn_1\[8\]. +Warning: ./spef/caravel.spef line 17085, *2818 not connected to net gpio_resetn_1\[8\]. +Warning: ./spef/caravel.spef line 17097, *2804 not connected to net gpio_resetn_1\[9\]. +Warning: ./spef/caravel.spef line 17098, *2818 not connected to net gpio_resetn_1\[9\]. +Warning: ./spef/caravel.spef line 17176, *2818 not connected to net gpio_resetn_1\[9\]. +Warning: ./spef/caravel.spef line 17185, *2804 not connected to net gpio_resetn_1\[9\]. +Warning: ./spef/caravel.spef line 17207, *2803 not connected to net gpio_resetn_1_shifted\[0\]. +Warning: ./spef/caravel.spef line 17259, *2803 not connected to net gpio_resetn_1_shifted\[0\]. +Warning: ./spef/caravel.spef line 24838, *2821 not connected to net la_data_in_user\[0\]. +Warning: ./spef/caravel.spef line 24865, *2821 not connected to net la_data_in_user\[0\]. +Warning: ./spef/caravel.spef line 24873, *2821 not connected to net la_data_in_user\[100\]. +Warning: ./spef/caravel.spef line 24893, *2821 not connected to net la_data_in_user\[100\]. +Warning: ./spef/caravel.spef line 24901, *2821 not connected to net la_data_in_user\[101\]. +Warning: ./spef/caravel.spef line 24918, *2821 not connected to net la_data_in_user\[101\]. +Warning: ./spef/caravel.spef line 24926, *2821 not connected to net la_data_in_user\[102\]. +Warning: ./spef/caravel.spef line 24943, *2821 not connected to net la_data_in_user\[102\]. +Warning: ./spef/caravel.spef line 24951, *2821 not connected to net la_data_in_user\[103\]. +Warning: ./spef/caravel.spef line 24967, *2821 not connected to net la_data_in_user\[103\]. +Warning: ./spef/caravel.spef line 24975, *2821 not connected to net la_data_in_user\[104\]. +Warning: ./spef/caravel.spef line 24991, *2821 not connected to net la_data_in_user\[104\]. +Warning: ./spef/caravel.spef line 24999, *2821 not connected to net la_data_in_user\[105\]. +Warning: ./spef/caravel.spef line 25015, *2821 not connected to net la_data_in_user\[105\]. +Warning: ./spef/caravel.spef line 25023, *2821 not connected to net la_data_in_user\[106\]. +Warning: ./spef/caravel.spef line 25039, *2821 not connected to net la_data_in_user\[106\]. +Warning: ./spef/caravel.spef line 25047, *2821 not connected to net la_data_in_user\[107\]. +Warning: ./spef/caravel.spef line 25066, *2821 not connected to net la_data_in_user\[107\]. +Warning: ./spef/caravel.spef line 25074, *2821 not connected to net la_data_in_user\[108\]. +Warning: ./spef/caravel.spef line 25092, *2821 not connected to net la_data_in_user\[108\]. +Warning: ./spef/caravel.spef line 25100, *2821 not connected to net la_data_in_user\[109\]. +Warning: ./spef/caravel.spef line 25118, *2821 not connected to net la_data_in_user\[109\]. +Warning: ./spef/caravel.spef line 25126, *2821 not connected to net la_data_in_user\[10\]. +Warning: ./spef/caravel.spef line 25141, *2821 not connected to net la_data_in_user\[10\]. +Warning: ./spef/caravel.spef line 25149, *2821 not connected to net la_data_in_user\[110\]. +Warning: ./spef/caravel.spef line 25175, *2821 not connected to net la_data_in_user\[110\]. +Warning: ./spef/caravel.spef line 25183, *2821 not connected to net la_data_in_user\[111\]. +Warning: ./spef/caravel.spef line 25203, *2821 not connected to net la_data_in_user\[111\]. +Warning: ./spef/caravel.spef line 25211, *2821 not connected to net la_data_in_user\[112\]. +Warning: ./spef/caravel.spef line 25227, *2821 not connected to net la_data_in_user\[112\]. +Warning: ./spef/caravel.spef line 25235, *2821 not connected to net la_data_in_user\[113\]. +Warning: ./spef/caravel.spef line 25251, *2821 not connected to net la_data_in_user\[113\]. +Warning: ./spef/caravel.spef line 25259, *2821 not connected to net la_data_in_user\[114\]. +Warning: ./spef/caravel.spef line 25276, *2821 not connected to net la_data_in_user\[114\]. +Warning: ./spef/caravel.spef line 25284, *2821 not connected to net la_data_in_user\[115\]. +Warning: ./spef/caravel.spef line 25301, *2821 not connected to net la_data_in_user\[115\]. +Warning: ./spef/caravel.spef line 25309, *2821 not connected to net la_data_in_user\[116\]. +Warning: ./spef/caravel.spef line 25326, *2821 not connected to net la_data_in_user\[116\]. +Warning: ./spef/caravel.spef line 25334, *2821 not connected to net la_data_in_user\[117\]. +Warning: ./spef/caravel.spef line 25350, *2821 not connected to net la_data_in_user\[117\]. +Warning: ./spef/caravel.spef line 25358, *2821 not connected to net la_data_in_user\[118\]. +Warning: ./spef/caravel.spef line 25376, *2821 not connected to net la_data_in_user\[118\]. +Warning: ./spef/caravel.spef line 25384, *2821 not connected to net la_data_in_user\[119\]. +Warning: ./spef/caravel.spef line 25400, *2821 not connected to net la_data_in_user\[119\]. +Warning: ./spef/caravel.spef line 25408, *2821 not connected to net la_data_in_user\[11\]. +Warning: ./spef/caravel.spef line 25426, *2821 not connected to net la_data_in_user\[11\]. +Warning: ./spef/caravel.spef line 25434, *2821 not connected to net la_data_in_user\[120\]. +Warning: ./spef/caravel.spef line 25451, *2821 not connected to net la_data_in_user\[120\]. +Warning: ./spef/caravel.spef line 25459, *2821 not connected to net la_data_in_user\[121\]. +Warning: ./spef/caravel.spef line 25486, *2821 not connected to net la_data_in_user\[121\]. +Warning: ./spef/caravel.spef line 25494, *2821 not connected to net la_data_in_user\[122\]. +Warning: ./spef/caravel.spef line 25514, *2821 not connected to net la_data_in_user\[122\]. +Warning: ./spef/caravel.spef line 25522, *2821 not connected to net la_data_in_user\[123\]. +Warning: ./spef/caravel.spef line 25550, *2821 not connected to net la_data_in_user\[123\]. +Warning: ./spef/caravel.spef line 25558, *2821 not connected to net la_data_in_user\[124\]. +Warning: ./spef/caravel.spef line 25579, *2821 not connected to net la_data_in_user\[124\]. +Warning: ./spef/caravel.spef line 25587, *2821 not connected to net la_data_in_user\[125\]. +Warning: ./spef/caravel.spef line 25613, *2821 not connected to net la_data_in_user\[125\]. +Warning: ./spef/caravel.spef line 25621, *2821 not connected to net la_data_in_user\[126\]. +Warning: ./spef/caravel.spef line 25647, *2821 not connected to net la_data_in_user\[126\]. +Warning: ./spef/caravel.spef line 25655, *2821 not connected to net la_data_in_user\[127\]. +Warning: ./spef/caravel.spef line 25682, *2821 not connected to net la_data_in_user\[127\]. +Warning: ./spef/caravel.spef line 25690, *2821 not connected to net la_data_in_user\[12\]. +Warning: ./spef/caravel.spef line 25705, *2821 not connected to net la_data_in_user\[12\]. +Warning: ./spef/caravel.spef line 25713, *2821 not connected to net la_data_in_user\[13\]. +Warning: ./spef/caravel.spef line 25730, *2821 not connected to net la_data_in_user\[13\]. +Warning: ./spef/caravel.spef line 25738, *2821 not connected to net la_data_in_user\[14\]. +Warning: ./spef/caravel.spef line 25768, *2821 not connected to net la_data_in_user\[14\]. +Warning: ./spef/caravel.spef line 25776, *2821 not connected to net la_data_in_user\[15\]. +Warning: ./spef/caravel.spef line 25793, *2821 not connected to net la_data_in_user\[15\]. +Warning: ./spef/caravel.spef line 25801, *2821 not connected to net la_data_in_user\[16\]. +Warning: ./spef/caravel.spef line 25813, *2821 not connected to net la_data_in_user\[16\]. +Warning: ./spef/caravel.spef line 25821, *2821 not connected to net la_data_in_user\[17\]. +Warning: ./spef/caravel.spef line 25838, *2821 not connected to net la_data_in_user\[17\]. +Warning: ./spef/caravel.spef line 25846, *2821 not connected to net la_data_in_user\[18\]. +Warning: ./spef/caravel.spef line 25863, *2821 not connected to net la_data_in_user\[18\]. +Warning: ./spef/caravel.spef line 25871, *2821 not connected to net la_data_in_user\[19\]. +Warning: ./spef/caravel.spef line 25888, *2821 not connected to net la_data_in_user\[19\]. +Warning: ./spef/caravel.spef line 25896, *2821 not connected to net la_data_in_user\[1\]. +Warning: ./spef/caravel.spef line 25926, *2821 not connected to net la_data_in_user\[1\]. +Warning: ./spef/caravel.spef line 25934, *2821 not connected to net la_data_in_user\[20\]. +Warning: ./spef/caravel.spef line 25946, *2821 not connected to net la_data_in_user\[20\]. +Warning: ./spef/caravel.spef line 25954, *2821 not connected to net la_data_in_user\[21\]. +Warning: ./spef/caravel.spef line 25967, *2821 not connected to net la_data_in_user\[21\]. +Warning: ./spef/caravel.spef line 25975, *2821 not connected to net la_data_in_user\[22\]. +Warning: ./spef/caravel.spef line 25982, *2821 not connected to net la_data_in_user\[22\]. +Warning: ./spef/caravel.spef line 25990, *2821 not connected to net la_data_in_user\[23\]. +Warning: ./spef/caravel.spef line 26004, *2821 not connected to net la_data_in_user\[23\]. +Warning: ./spef/caravel.spef line 26012, *2821 not connected to net la_data_in_user\[24\]. +Warning: ./spef/caravel.spef line 26025, *2821 not connected to net la_data_in_user\[24\]. +Warning: ./spef/caravel.spef line 26033, *2821 not connected to net la_data_in_user\[25\]. +Warning: ./spef/caravel.spef line 26050, *2821 not connected to net la_data_in_user\[25\]. +Warning: ./spef/caravel.spef line 26058, *2821 not connected to net la_data_in_user\[26\]. +Warning: ./spef/caravel.spef line 26074, *2821 not connected to net la_data_in_user\[26\]. +Warning: ./spef/caravel.spef line 26082, *2821 not connected to net la_data_in_user\[27\]. +Warning: ./spef/caravel.spef line 26105, *2821 not connected to net la_data_in_user\[27\]. +Warning: ./spef/caravel.spef line 26113, *2821 not connected to net la_data_in_user\[28\]. +Warning: ./spef/caravel.spef line 26135, *2821 not connected to net la_data_in_user\[28\]. +Warning: ./spef/caravel.spef line 26143, *2821 not connected to net la_data_in_user\[29\]. +Warning: ./spef/caravel.spef line 26169, *2821 not connected to net la_data_in_user\[29\]. +Warning: ./spef/caravel.spef line 26177, *2821 not connected to net la_data_in_user\[2\]. +Warning: ./spef/caravel.spef line 26196, *2821 not connected to net la_data_in_user\[2\]. +Warning: ./spef/caravel.spef line 26204, *2821 not connected to net la_data_in_user\[30\]. +Warning: ./spef/caravel.spef line 26227, *2821 not connected to net la_data_in_user\[30\]. +Warning: ./spef/caravel.spef line 26235, *2821 not connected to net la_data_in_user\[31\]. +Warning: ./spef/caravel.spef line 26253, *2821 not connected to net la_data_in_user\[31\]. +Warning: ./spef/caravel.spef line 26261, *2821 not connected to net la_data_in_user\[32\]. +Warning: ./spef/caravel.spef line 26279, *2821 not connected to net la_data_in_user\[32\]. +Warning: ./spef/caravel.spef line 26287, *2821 not connected to net la_data_in_user\[33\]. +Warning: ./spef/caravel.spef line 26309, *2821 not connected to net la_data_in_user\[33\]. +Warning: ./spef/caravel.spef line 26317, *2821 not connected to net la_data_in_user\[34\]. +Warning: ./spef/caravel.spef line 26338, *2821 not connected to net la_data_in_user\[34\]. +Warning: ./spef/caravel.spef line 26346, *2821 not connected to net la_data_in_user\[35\]. +Warning: ./spef/caravel.spef line 26360, *2821 not connected to net la_data_in_user\[35\]. +Warning: ./spef/caravel.spef line 26368, *2821 not connected to net la_data_in_user\[36\]. +Warning: ./spef/caravel.spef line 26384, *2821 not connected to net la_data_in_user\[36\]. +Warning: ./spef/caravel.spef line 26392, *2821 not connected to net la_data_in_user\[37\]. +Warning: ./spef/caravel.spef line 26409, *2821 not connected to net la_data_in_user\[37\]. +Warning: ./spef/caravel.spef line 26417, *2821 not connected to net la_data_in_user\[38\]. +Warning: ./spef/caravel.spef line 26435, *2821 not connected to net la_data_in_user\[38\]. +Warning: ./spef/caravel.spef line 26443, *2821 not connected to net la_data_in_user\[39\]. +Warning: ./spef/caravel.spef line 26462, *2821 not connected to net la_data_in_user\[39\]. +Warning: ./spef/caravel.spef line 26470, *2821 not connected to net la_data_in_user\[3\]. +Warning: ./spef/caravel.spef line 26492, *2821 not connected to net la_data_in_user\[3\]. +Warning: ./spef/caravel.spef line 26500, *2821 not connected to net la_data_in_user\[40\]. +Warning: ./spef/caravel.spef line 26526, *2821 not connected to net la_data_in_user\[40\]. +Warning: ./spef/caravel.spef line 26534, *2821 not connected to net la_data_in_user\[41\]. +Warning: ./spef/caravel.spef line 26554, *2821 not connected to net la_data_in_user\[41\]. +Warning: ./spef/caravel.spef line 26562, *2821 not connected to net la_data_in_user\[42\]. +Warning: ./spef/caravel.spef line 26586, *2821 not connected to net la_data_in_user\[42\]. +Warning: ./spef/caravel.spef line 26594, *2821 not connected to net la_data_in_user\[43\]. +Warning: ./spef/caravel.spef line 26622, *2821 not connected to net la_data_in_user\[43\]. +Warning: ./spef/caravel.spef line 26630, *2821 not connected to net la_data_in_user\[44\]. +Warning: ./spef/caravel.spef line 26653, *2821 not connected to net la_data_in_user\[44\]. +Warning: ./spef/caravel.spef line 26661, *2821 not connected to net la_data_in_user\[45\]. +Warning: ./spef/caravel.spef line 26679, *2821 not connected to net la_data_in_user\[45\]. +Warning: ./spef/caravel.spef line 26687, *2821 not connected to net la_data_in_user\[46\]. +Warning: ./spef/caravel.spef line 26703, *2821 not connected to net la_data_in_user\[46\]. +Warning: ./spef/caravel.spef line 26711, *2821 not connected to net la_data_in_user\[47\]. +Warning: ./spef/caravel.spef line 26727, *2821 not connected to net la_data_in_user\[47\]. +Warning: ./spef/caravel.spef line 26735, *2821 not connected to net la_data_in_user\[48\]. +Warning: ./spef/caravel.spef line 26752, *2821 not connected to net la_data_in_user\[48\]. +Warning: ./spef/caravel.spef line 26760, *2821 not connected to net la_data_in_user\[49\]. +Warning: ./spef/caravel.spef line 26778, *2821 not connected to net la_data_in_user\[49\]. +Warning: ./spef/caravel.spef line 26786, *2821 not connected to net la_data_in_user\[4\]. +Warning: ./spef/caravel.spef line 26813, *2821 not connected to net la_data_in_user\[4\]. +Warning: ./spef/caravel.spef line 26821, *2821 not connected to net la_data_in_user\[50\]. +Warning: ./spef/caravel.spef line 26839, *2821 not connected to net la_data_in_user\[50\]. +Warning: ./spef/caravel.spef line 26847, *2821 not connected to net la_data_in_user\[51\]. +Warning: ./spef/caravel.spef line 26864, *2821 not connected to net la_data_in_user\[51\]. +Warning: ./spef/caravel.spef line 26872, *2821 not connected to net la_data_in_user\[52\]. +Warning: ./spef/caravel.spef line 26894, *2821 not connected to net la_data_in_user\[52\]. +Warning: ./spef/caravel.spef line 26902, *2821 not connected to net la_data_in_user\[53\]. +Warning: ./spef/caravel.spef line 26923, *2821 not connected to net la_data_in_user\[53\]. +Warning: ./spef/caravel.spef line 26931, *2821 not connected to net la_data_in_user\[54\]. +Warning: ./spef/caravel.spef line 26951, *2821 not connected to net la_data_in_user\[54\]. +Warning: ./spef/caravel.spef line 26959, *2821 not connected to net la_data_in_user\[55\]. +Warning: ./spef/caravel.spef line 26976, *2821 not connected to net la_data_in_user\[55\]. +Warning: ./spef/caravel.spef line 26984, *2821 not connected to net la_data_in_user\[56\]. +Warning: ./spef/caravel.spef line 27005, *2821 not connected to net la_data_in_user\[56\]. +Warning: ./spef/caravel.spef line 27013, *2821 not connected to net la_data_in_user\[57\]. +Warning: ./spef/caravel.spef line 27035, *2821 not connected to net la_data_in_user\[57\]. +Warning: ./spef/caravel.spef line 27043, *2821 not connected to net la_data_in_user\[58\]. +Warning: ./spef/caravel.spef line 27077, *2821 not connected to net la_data_in_user\[58\]. +Warning: ./spef/caravel.spef line 27085, *2821 not connected to net la_data_in_user\[59\]. +Warning: ./spef/caravel.spef line 27103, *2821 not connected to net la_data_in_user\[59\]. +Warning: ./spef/caravel.spef line 27111, *2821 not connected to net la_data_in_user\[5\]. +Warning: ./spef/caravel.spef line 27138, *2821 not connected to net la_data_in_user\[5\]. +Warning: ./spef/caravel.spef line 27146, *2821 not connected to net la_data_in_user\[60\]. +Warning: ./spef/caravel.spef line 27163, *2821 not connected to net la_data_in_user\[60\]. +Warning: ./spef/caravel.spef line 27171, *2821 not connected to net la_data_in_user\[61\]. +Warning: ./spef/caravel.spef line 27188, *2821 not connected to net la_data_in_user\[61\]. +Warning: ./spef/caravel.spef line 27196, *2821 not connected to net la_data_in_user\[62\]. +Warning: ./spef/caravel.spef line 27225, *2821 not connected to net la_data_in_user\[62\]. +Warning: ./spef/caravel.spef line 27233, *2821 not connected to net la_data_in_user\[63\]. +Warning: ./spef/caravel.spef line 27256, *2821 not connected to net la_data_in_user\[63\]. +Warning: ./spef/caravel.spef line 27264, *2821 not connected to net la_data_in_user\[64\]. +Warning: ./spef/caravel.spef line 27287, *2821 not connected to net la_data_in_user\[64\]. +Warning: ./spef/caravel.spef line 27295, *2821 not connected to net la_data_in_user\[65\]. +Warning: ./spef/caravel.spef line 27317, *2821 not connected to net la_data_in_user\[65\]. +Warning: ./spef/caravel.spef line 27325, *2821 not connected to net la_data_in_user\[66\]. +Warning: ./spef/caravel.spef line 27354, *2821 not connected to net la_data_in_user\[66\]. +Warning: ./spef/caravel.spef line 27362, *2821 not connected to net la_data_in_user\[67\]. +Warning: ./spef/caravel.spef line 27390, *2821 not connected to net la_data_in_user\[67\]. +Warning: ./spef/caravel.spef line 27398, *2821 not connected to net la_data_in_user\[68\]. +Warning: ./spef/caravel.spef line 27432, *2821 not connected to net la_data_in_user\[68\]. +Warning: ./spef/caravel.spef line 27440, *2821 not connected to net la_data_in_user\[69\]. +Warning: ./spef/caravel.spef line 27467, *2821 not connected to net la_data_in_user\[69\]. +Warning: ./spef/caravel.spef line 27475, *2821 not connected to net la_data_in_user\[6\]. +Warning: ./spef/caravel.spef line 27491, *2821 not connected to net la_data_in_user\[6\]. +Warning: ./spef/caravel.spef line 27499, *2821 not connected to net la_data_in_user\[70\]. +Warning: ./spef/caravel.spef line 27523, *2821 not connected to net la_data_in_user\[70\]. +Warning: ./spef/caravel.spef line 27531, *2821 not connected to net la_data_in_user\[71\]. +Warning: ./spef/caravel.spef line 27548, *2821 not connected to net la_data_in_user\[71\]. +Warning: ./spef/caravel.spef line 27556, *2821 not connected to net la_data_in_user\[72\]. +Warning: ./spef/caravel.spef line 27576, *2821 not connected to net la_data_in_user\[72\]. +Warning: ./spef/caravel.spef line 27584, *2821 not connected to net la_data_in_user\[73\]. +Warning: ./spef/caravel.spef line 27602, *2821 not connected to net la_data_in_user\[73\]. +Warning: ./spef/caravel.spef line 27610, *2821 not connected to net la_data_in_user\[74\]. +Warning: ./spef/caravel.spef line 27628, *2821 not connected to net la_data_in_user\[74\]. +Warning: ./spef/caravel.spef line 27636, *2821 not connected to net la_data_in_user\[75\]. +Warning: ./spef/caravel.spef line 27652, *2821 not connected to net la_data_in_user\[75\]. +Warning: ./spef/caravel.spef line 27660, *2821 not connected to net la_data_in_user\[76\]. +Warning: ./spef/caravel.spef line 27679, *2821 not connected to net la_data_in_user\[76\]. +Warning: ./spef/caravel.spef line 27687, *2821 not connected to net la_data_in_user\[77\]. +Warning: ./spef/caravel.spef line 27710, *2821 not connected to net la_data_in_user\[77\]. +Warning: ./spef/caravel.spef line 27718, *2821 not connected to net la_data_in_user\[78\]. +Warning: ./spef/caravel.spef line 27737, *2821 not connected to net la_data_in_user\[78\]. +Warning: ./spef/caravel.spef line 27745, *2821 not connected to net la_data_in_user\[79\]. +Warning: ./spef/caravel.spef line 27761, *2821 not connected to net la_data_in_user\[79\]. +Warning: ./spef/caravel.spef line 27769, *2821 not connected to net la_data_in_user\[7\]. +Warning: ./spef/caravel.spef line 27791, *2821 not connected to net la_data_in_user\[7\]. +Warning: ./spef/caravel.spef line 27799, *2821 not connected to net la_data_in_user\[80\]. +Warning: ./spef/caravel.spef line 27816, *2821 not connected to net la_data_in_user\[80\]. +Warning: ./spef/caravel.spef line 27824, *2821 not connected to net la_data_in_user\[81\]. +Warning: ./spef/caravel.spef line 27841, *2821 not connected to net la_data_in_user\[81\]. +Warning: ./spef/caravel.spef line 27849, *2821 not connected to net la_data_in_user\[82\]. +Warning: ./spef/caravel.spef line 27866, *2821 not connected to net la_data_in_user\[82\]. +Warning: ./spef/caravel.spef line 27874, *2821 not connected to net la_data_in_user\[83\]. +Warning: ./spef/caravel.spef line 27892, *2821 not connected to net la_data_in_user\[83\]. +Warning: ./spef/caravel.spef line 27900, *2821 not connected to net la_data_in_user\[84\]. +Warning: ./spef/caravel.spef line 27916, *2821 not connected to net la_data_in_user\[84\]. +Warning: ./spef/caravel.spef line 27924, *2821 not connected to net la_data_in_user\[85\]. +Warning: ./spef/caravel.spef line 27940, *2821 not connected to net la_data_in_user\[85\]. +Warning: ./spef/caravel.spef line 27948, *2821 not connected to net la_data_in_user\[86\]. +Warning: ./spef/caravel.spef line 27964, *2821 not connected to net la_data_in_user\[86\]. +Warning: ./spef/caravel.spef line 27972, *2821 not connected to net la_data_in_user\[87\]. +Warning: ./spef/caravel.spef line 27989, *2821 not connected to net la_data_in_user\[87\]. +Warning: ./spef/caravel.spef line 27997, *2821 not connected to net la_data_in_user\[88\]. +Warning: ./spef/caravel.spef line 28016, *2821 not connected to net la_data_in_user\[88\]. +Warning: ./spef/caravel.spef line 28024, *2821 not connected to net la_data_in_user\[89\]. +Warning: ./spef/caravel.spef line 28041, *2821 not connected to net la_data_in_user\[89\]. +Warning: ./spef/caravel.spef line 28049, *2821 not connected to net la_data_in_user\[8\]. +Warning: ./spef/caravel.spef line 28071, *2821 not connected to net la_data_in_user\[8\]. +Warning: ./spef/caravel.spef line 28079, *2821 not connected to net la_data_in_user\[90\]. +Warning: ./spef/caravel.spef line 28099, *2821 not connected to net la_data_in_user\[90\]. +Warning: ./spef/caravel.spef line 28107, *2821 not connected to net la_data_in_user\[91\]. +Warning: ./spef/caravel.spef line 28124, *2821 not connected to net la_data_in_user\[91\]. +Warning: ./spef/caravel.spef line 28132, *2821 not connected to net la_data_in_user\[92\]. +Warning: ./spef/caravel.spef line 28148, *2821 not connected to net la_data_in_user\[92\]. +Warning: ./spef/caravel.spef line 28156, *2821 not connected to net la_data_in_user\[93\]. +Warning: ./spef/caravel.spef line 28177, *2821 not connected to net la_data_in_user\[93\]. +Warning: ./spef/caravel.spef line 28185, *2821 not connected to net la_data_in_user\[94\]. +Warning: ./spef/caravel.spef line 28202, *2821 not connected to net la_data_in_user\[94\]. +Warning: ./spef/caravel.spef line 28210, *2821 not connected to net la_data_in_user\[95\]. +Warning: ./spef/caravel.spef line 28227, *2821 not connected to net la_data_in_user\[95\]. +Warning: ./spef/caravel.spef line 28235, *2821 not connected to net la_data_in_user\[96\]. +Warning: ./spef/caravel.spef line 28252, *2821 not connected to net la_data_in_user\[96\]. +Warning: ./spef/caravel.spef line 28260, *2821 not connected to net la_data_in_user\[97\]. +Warning: ./spef/caravel.spef line 28277, *2821 not connected to net la_data_in_user\[97\]. +Warning: ./spef/caravel.spef line 28285, *2821 not connected to net la_data_in_user\[98\]. +Warning: ./spef/caravel.spef line 28302, *2821 not connected to net la_data_in_user\[98\]. +Warning: ./spef/caravel.spef line 28310, *2821 not connected to net la_data_in_user\[99\]. +Warning: ./spef/caravel.spef line 28328, *2821 not connected to net la_data_in_user\[99\]. +Warning: ./spef/caravel.spef line 28336, *2821 not connected to net la_data_in_user\[9\]. +Warning: ./spef/caravel.spef line 28354, *2821 not connected to net la_data_in_user\[9\]. +Warning: ./spef/caravel.spef line 32567, *2821 not connected to net la_data_out_user\[0\]. +Warning: ./spef/caravel.spef line 32592, *2821 not connected to net la_data_out_user\[0\]. +Warning: ./spef/caravel.spef line 32605, *2821 not connected to net la_data_out_user\[100\]. +Warning: ./spef/caravel.spef line 32617, *2821 not connected to net la_data_out_user\[100\]. +Warning: ./spef/caravel.spef line 32629, *2821 not connected to net la_data_out_user\[101\]. +Warning: ./spef/caravel.spef line 32645, *2821 not connected to net la_data_out_user\[101\]. +Warning: ./spef/caravel.spef line 32658, *2821 not connected to net la_data_out_user\[102\]. +Warning: ./spef/caravel.spef line 32670, *2821 not connected to net la_data_out_user\[102\]. +Warning: ./spef/caravel.spef line 32682, *2821 not connected to net la_data_out_user\[103\]. +Warning: ./spef/caravel.spef line 32696, *2821 not connected to net la_data_out_user\[103\]. +Warning: ./spef/caravel.spef line 32708, *2821 not connected to net la_data_out_user\[104\]. +Warning: ./spef/caravel.spef line 32721, *2821 not connected to net la_data_out_user\[104\]. +Warning: ./spef/caravel.spef line 32733, *2821 not connected to net la_data_out_user\[105\]. +Warning: ./spef/caravel.spef line 32746, *2821 not connected to net la_data_out_user\[105\]. +Warning: ./spef/caravel.spef line 32758, *2821 not connected to net la_data_out_user\[106\]. +Warning: ./spef/caravel.spef line 32770, *2821 not connected to net la_data_out_user\[106\]. +Warning: ./spef/caravel.spef line 32782, *2821 not connected to net la_data_out_user\[107\]. +Warning: ./spef/caravel.spef line 32795, *2821 not connected to net la_data_out_user\[107\]. +Warning: ./spef/caravel.spef line 32807, *2821 not connected to net la_data_out_user\[108\]. +Warning: ./spef/caravel.spef line 32819, *2821 not connected to net la_data_out_user\[108\]. +Warning: ./spef/caravel.spef line 32831, *2821 not connected to net la_data_out_user\[109\]. +Warning: ./spef/caravel.spef line 32848, *2821 not connected to net la_data_out_user\[109\]. +Warning: ./spef/caravel.spef line 32860, *2821 not connected to net la_data_out_user\[10\]. +Warning: ./spef/caravel.spef line 32873, *2821 not connected to net la_data_out_user\[10\]. +Warning: ./spef/caravel.spef line 32884, *2821 not connected to net la_data_out_user\[110\]. +Warning: ./spef/caravel.spef line 32899, *2821 not connected to net la_data_out_user\[110\]. +Warning: ./spef/caravel.spef line 32911, *2821 not connected to net la_data_out_user\[111\]. +Warning: ./spef/caravel.spef line 32922, *2821 not connected to net la_data_out_user\[111\]. +Warning: ./spef/caravel.spef line 32934, *2821 not connected to net la_data_out_user\[112\]. +Warning: ./spef/caravel.spef line 32949, *2821 not connected to net la_data_out_user\[112\]. +Warning: ./spef/caravel.spef line 32961, *2821 not connected to net la_data_out_user\[113\]. +Warning: ./spef/caravel.spef line 32974, *2821 not connected to net la_data_out_user\[113\]. +Warning: ./spef/caravel.spef line 32987, *2821 not connected to net la_data_out_user\[114\]. +Warning: ./spef/caravel.spef line 32999, *2821 not connected to net la_data_out_user\[114\]. +Warning: ./spef/caravel.spef line 33011, *2821 not connected to net la_data_out_user\[115\]. +Warning: ./spef/caravel.spef line 33024, *2821 not connected to net la_data_out_user\[115\]. +Warning: ./spef/caravel.spef line 33036, *2821 not connected to net la_data_out_user\[116\]. +Warning: ./spef/caravel.spef line 33048, *2821 not connected to net la_data_out_user\[116\]. +Warning: ./spef/caravel.spef line 33060, *2821 not connected to net la_data_out_user\[117\]. +Warning: ./spef/caravel.spef line 33074, *2821 not connected to net la_data_out_user\[117\]. +Warning: ./spef/caravel.spef line 33086, *2821 not connected to net la_data_out_user\[118\]. +Warning: ./spef/caravel.spef line 33116, *2821 not connected to net la_data_out_user\[118\]. +Warning: ./spef/caravel.spef line 33130, *2821 not connected to net la_data_out_user\[119\]. +Warning: ./spef/caravel.spef line 33144, *2821 not connected to net la_data_out_user\[119\]. +Warning: ./spef/caravel.spef line 33156, *2821 not connected to net la_data_out_user\[11\]. +Warning: ./spef/caravel.spef line 33177, *2821 not connected to net la_data_out_user\[11\]. +Warning: ./spef/caravel.spef line 33190, *2821 not connected to net la_data_out_user\[120\]. +Warning: ./spef/caravel.spef line 33214, *2821 not connected to net la_data_out_user\[120\]. +Warning: ./spef/caravel.spef line 33228, *2821 not connected to net la_data_out_user\[121\]. +Warning: ./spef/caravel.spef line 33243, *2821 not connected to net la_data_out_user\[121\]. +Warning: ./spef/caravel.spef line 33256, *2821 not connected to net la_data_out_user\[122\]. +Warning: ./spef/caravel.spef line 33281, *2821 not connected to net la_data_out_user\[122\]. +Warning: ./spef/caravel.spef line 33295, *2821 not connected to net la_data_out_user\[123\]. +Warning: ./spef/caravel.spef line 33309, *2821 not connected to net la_data_out_user\[123\]. +Warning: ./spef/caravel.spef line 33321, *2821 not connected to net la_data_out_user\[124\]. +Warning: ./spef/caravel.spef line 33343, *2821 not connected to net la_data_out_user\[124\]. +Warning: ./spef/caravel.spef line 33356, *2821 not connected to net la_data_out_user\[125\]. +Warning: ./spef/caravel.spef line 33368, *2821 not connected to net la_data_out_user\[125\]. +Warning: ./spef/caravel.spef line 33380, *2821 not connected to net la_data_out_user\[126\]. +Warning: ./spef/caravel.spef line 33409, *2821 not connected to net la_data_out_user\[126\]. +Warning: ./spef/caravel.spef line 33422, *2821 not connected to net la_data_out_user\[127\]. +Warning: ./spef/caravel.spef line 33434, *2821 not connected to net la_data_out_user\[127\]. +Warning: ./spef/caravel.spef line 33446, *2821 not connected to net la_data_out_user\[12\]. +Warning: ./spef/caravel.spef line 33457, *2821 not connected to net la_data_out_user\[12\]. +Warning: ./spef/caravel.spef line 33469, *2821 not connected to net la_data_out_user\[13\]. +Warning: ./spef/caravel.spef line 33489, *2821 not connected to net la_data_out_user\[13\]. +Warning: ./spef/caravel.spef line 33503, *2821 not connected to net la_data_out_user\[14\]. +Warning: ./spef/caravel.spef line 33513, *2821 not connected to net la_data_out_user\[14\]. +Warning: ./spef/caravel.spef line 33525, *2821 not connected to net la_data_out_user\[15\]. +Warning: ./spef/caravel.spef line 33539, *2821 not connected to net la_data_out_user\[15\]. +Warning: ./spef/caravel.spef line 33551, *2821 not connected to net la_data_out_user\[16\]. +Warning: ./spef/caravel.spef line 33562, *2821 not connected to net la_data_out_user\[16\]. +Warning: ./spef/caravel.spef line 33573, *2821 not connected to net la_data_out_user\[17\]. +Warning: ./spef/caravel.spef line 33584, *2821 not connected to net la_data_out_user\[17\]. +Warning: ./spef/caravel.spef line 33595, *2821 not connected to net la_data_out_user\[18\]. +Warning: ./spef/caravel.spef line 33608, *2821 not connected to net la_data_out_user\[18\]. +Warning: ./spef/caravel.spef line 33619, *2821 not connected to net la_data_out_user\[19\]. +Warning: ./spef/caravel.spef line 33634, *2821 not connected to net la_data_out_user\[19\]. +Warning: ./spef/caravel.spef line 33645, *2821 not connected to net la_data_out_user\[1\]. +Warning: ./spef/caravel.spef line 33666, *2821 not connected to net la_data_out_user\[1\]. +Warning: ./spef/caravel.spef line 33678, *2821 not connected to net la_data_out_user\[20\]. +Warning: ./spef/caravel.spef line 33688, *2821 not connected to net la_data_out_user\[20\]. +Warning: ./spef/caravel.spef line 33699, *2821 not connected to net la_data_out_user\[21\]. +Warning: ./spef/caravel.spef line 33709, *2821 not connected to net la_data_out_user\[21\]. +Warning: ./spef/caravel.spef line 33720, *2821 not connected to net la_data_out_user\[22\]. +Warning: ./spef/caravel.spef line 33727, *2821 not connected to net la_data_out_user\[22\]. +Warning: ./spef/caravel.spef line 33737, *2821 not connected to net la_data_out_user\[23\]. +Warning: ./spef/caravel.spef line 33748, *2821 not connected to net la_data_out_user\[23\]. +Warning: ./spef/caravel.spef line 33759, *2821 not connected to net la_data_out_user\[24\]. +Warning: ./spef/caravel.spef line 33772, *2821 not connected to net la_data_out_user\[24\]. +Warning: ./spef/caravel.spef line 33783, *2821 not connected to net la_data_out_user\[25\]. +Warning: ./spef/caravel.spef line 33796, *2821 not connected to net la_data_out_user\[25\]. +Warning: ./spef/caravel.spef line 33807, *2821 not connected to net la_data_out_user\[26\]. +Warning: ./spef/caravel.spef line 33820, *2821 not connected to net la_data_out_user\[26\]. +Warning: ./spef/caravel.spef line 33832, *2821 not connected to net la_data_out_user\[27\]. +Warning: ./spef/caravel.spef line 33847, *2821 not connected to net la_data_out_user\[27\]. +Warning: ./spef/caravel.spef line 33859, *2821 not connected to net la_data_out_user\[28\]. +Warning: ./spef/caravel.spef line 33884, *2821 not connected to net la_data_out_user\[28\]. +Warning: ./spef/caravel.spef line 33897, *2821 not connected to net la_data_out_user\[29\]. +Warning: ./spef/caravel.spef line 33921, *2821 not connected to net la_data_out_user\[29\]. +Warning: ./spef/caravel.spef line 33934, *2821 not connected to net la_data_out_user\[2\]. +Warning: ./spef/caravel.spef line 33949, *2821 not connected to net la_data_out_user\[2\]. +Warning: ./spef/caravel.spef line 33961, *2821 not connected to net la_data_out_user\[30\]. +Warning: ./spef/caravel.spef line 33975, *2821 not connected to net la_data_out_user\[30\]. +Warning: ./spef/caravel.spef line 33987, *2821 not connected to net la_data_out_user\[31\]. +Warning: ./spef/caravel.spef line 34004, *2821 not connected to net la_data_out_user\[31\]. +Warning: ./spef/caravel.spef line 34016, *2821 not connected to net la_data_out_user\[32\]. +Warning: ./spef/caravel.spef line 34036, *2821 not connected to net la_data_out_user\[32\]. +Warning: ./spef/caravel.spef line 34048, *2821 not connected to net la_data_out_user\[33\]. +Warning: ./spef/caravel.spef line 34063, *2821 not connected to net la_data_out_user\[33\]. +Warning: ./spef/caravel.spef line 34075, *2821 not connected to net la_data_out_user\[34\]. +Warning: ./spef/caravel.spef line 34093, *2821 not connected to net la_data_out_user\[34\]. +Warning: ./spef/caravel.spef line 34105, *2821 not connected to net la_data_out_user\[35\]. +Warning: ./spef/caravel.spef line 34116, *2821 not connected to net la_data_out_user\[35\]. +Warning: ./spef/caravel.spef line 34127, *2821 not connected to net la_data_out_user\[36\]. +Warning: ./spef/caravel.spef line 34140, *2821 not connected to net la_data_out_user\[36\]. +Warning: ./spef/caravel.spef line 34151, *2821 not connected to net la_data_out_user\[37\]. +Warning: ./spef/caravel.spef line 34167, *2821 not connected to net la_data_out_user\[37\]. +Warning: ./spef/caravel.spef line 34179, *2821 not connected to net la_data_out_user\[38\]. +Warning: ./spef/caravel.spef line 34196, *2821 not connected to net la_data_out_user\[38\]. +Warning: ./spef/caravel.spef line 34208, *2821 not connected to net la_data_out_user\[39\]. +Warning: ./spef/caravel.spef line 34220, *2821 not connected to net la_data_out_user\[39\]. +Warning: ./spef/caravel.spef line 34232, *2821 not connected to net la_data_out_user\[3\]. +Warning: ./spef/caravel.spef line 34251, *2821 not connected to net la_data_out_user\[3\]. +Warning: ./spef/caravel.spef line 34263, *2821 not connected to net la_data_out_user\[40\]. +Warning: ./spef/caravel.spef line 34279, *2821 not connected to net la_data_out_user\[40\]. +Warning: ./spef/caravel.spef line 34291, *2821 not connected to net la_data_out_user\[41\]. +Warning: ./spef/caravel.spef line 34308, *2821 not connected to net la_data_out_user\[41\]. +Warning: ./spef/caravel.spef line 34320, *2821 not connected to net la_data_out_user\[42\]. +Warning: ./spef/caravel.spef line 34334, *2821 not connected to net la_data_out_user\[42\]. +Warning: ./spef/caravel.spef line 34346, *2821 not connected to net la_data_out_user\[43\]. +Warning: ./spef/caravel.spef line 34368, *2821 not connected to net la_data_out_user\[43\]. +Warning: ./spef/caravel.spef line 34380, *2821 not connected to net la_data_out_user\[44\]. +Warning: ./spef/caravel.spef line 34398, *2821 not connected to net la_data_out_user\[44\]. +Warning: ./spef/caravel.spef line 34411, *2821 not connected to net la_data_out_user\[45\]. +Warning: ./spef/caravel.spef line 34425, *2821 not connected to net la_data_out_user\[45\]. +Warning: ./spef/caravel.spef line 34437, *2821 not connected to net la_data_out_user\[46\]. +Warning: ./spef/caravel.spef line 34456, *2821 not connected to net la_data_out_user\[46\]. +Warning: ./spef/caravel.spef line 34468, *2821 not connected to net la_data_out_user\[47\]. +Warning: ./spef/caravel.spef line 34485, *2821 not connected to net la_data_out_user\[47\]. +Warning: ./spef/caravel.spef line 34497, *2821 not connected to net la_data_out_user\[48\]. +Warning: ./spef/caravel.spef line 34534, *2821 not connected to net la_data_out_user\[48\]. +Warning: ./spef/caravel.spef line 34547, *2821 not connected to net la_data_out_user\[49\]. +Warning: ./spef/caravel.spef line 34570, *2821 not connected to net la_data_out_user\[49\]. +Warning: ./spef/caravel.spef line 34582, *2821 not connected to net la_data_out_user\[4\]. +Warning: ./spef/caravel.spef line 34598, *2821 not connected to net la_data_out_user\[4\]. +Warning: ./spef/caravel.spef line 34610, *2821 not connected to net la_data_out_user\[50\]. +Warning: ./spef/caravel.spef line 34626, *2821 not connected to net la_data_out_user\[50\]. +Warning: ./spef/caravel.spef line 34638, *2821 not connected to net la_data_out_user\[51\]. +Warning: ./spef/caravel.spef line 34656, *2821 not connected to net la_data_out_user\[51\]. +Warning: ./spef/caravel.spef line 34668, *2821 not connected to net la_data_out_user\[52\]. +Warning: ./spef/caravel.spef line 34683, *2821 not connected to net la_data_out_user\[52\]. +Warning: ./spef/caravel.spef line 34695, *2821 not connected to net la_data_out_user\[53\]. +Warning: ./spef/caravel.spef line 34710, *2821 not connected to net la_data_out_user\[53\]. +Warning: ./spef/caravel.spef line 34722, *2821 not connected to net la_data_out_user\[54\]. +Warning: ./spef/caravel.spef line 34739, *2821 not connected to net la_data_out_user\[54\]. +Warning: ./spef/caravel.spef line 34751, *2821 not connected to net la_data_out_user\[55\]. +Warning: ./spef/caravel.spef line 34766, *2821 not connected to net la_data_out_user\[55\]. +Warning: ./spef/caravel.spef line 34778, *2821 not connected to net la_data_out_user\[56\]. +Warning: ./spef/caravel.spef line 34793, *2821 not connected to net la_data_out_user\[56\]. +Warning: ./spef/caravel.spef line 34805, *2821 not connected to net la_data_out_user\[57\]. +Warning: ./spef/caravel.spef line 34833, *2821 not connected to net la_data_out_user\[57\]. +Warning: ./spef/caravel.spef line 34848, *2821 not connected to net la_data_out_user\[58\]. +Warning: ./spef/caravel.spef line 34869, *2821 not connected to net la_data_out_user\[58\]. +Warning: ./spef/caravel.spef line 34883, *2821 not connected to net la_data_out_user\[59\]. +Warning: ./spef/caravel.spef line 34904, *2821 not connected to net la_data_out_user\[59\]. +Warning: ./spef/caravel.spef line 34917, *2821 not connected to net la_data_out_user\[5\]. +Warning: ./spef/caravel.spef line 34934, *2821 not connected to net la_data_out_user\[5\]. +Warning: ./spef/caravel.spef line 34946, *2821 not connected to net la_data_out_user\[60\]. +Warning: ./spef/caravel.spef line 34962, *2821 not connected to net la_data_out_user\[60\]. +Warning: ./spef/caravel.spef line 34974, *2821 not connected to net la_data_out_user\[61\]. +Warning: ./spef/caravel.spef line 34990, *2821 not connected to net la_data_out_user\[61\]. +Warning: ./spef/caravel.spef line 35002, *2821 not connected to net la_data_out_user\[62\]. +Warning: ./spef/caravel.spef line 35015, *2821 not connected to net la_data_out_user\[62\]. +Warning: ./spef/caravel.spef line 35027, *2821 not connected to net la_data_out_user\[63\]. +Warning: ./spef/caravel.spef line 35039, *2821 not connected to net la_data_out_user\[63\]. +Warning: ./spef/caravel.spef line 35051, *2821 not connected to net la_data_out_user\[64\]. +Warning: ./spef/caravel.spef line 35065, *2821 not connected to net la_data_out_user\[64\]. +Warning: ./spef/caravel.spef line 35077, *2821 not connected to net la_data_out_user\[65\]. +Warning: ./spef/caravel.spef line 35103, *2821 not connected to net la_data_out_user\[65\]. +Warning: ./spef/caravel.spef line 35118, *2821 not connected to net la_data_out_user\[66\]. +Warning: ./spef/caravel.spef line 35135, *2821 not connected to net la_data_out_user\[66\]. +Warning: ./spef/caravel.spef line 35148, *2821 not connected to net la_data_out_user\[67\]. +Warning: ./spef/caravel.spef line 35163, *2821 not connected to net la_data_out_user\[67\]. +Warning: ./spef/caravel.spef line 35175, *2821 not connected to net la_data_out_user\[68\]. +Warning: ./spef/caravel.spef line 35193, *2821 not connected to net la_data_out_user\[68\]. +Warning: ./spef/caravel.spef line 35205, *2821 not connected to net la_data_out_user\[69\]. +Warning: ./spef/caravel.spef line 35221, *2821 not connected to net la_data_out_user\[69\]. +Warning: ./spef/caravel.spef line 35233, *2821 not connected to net la_data_out_user\[6\]. +Warning: ./spef/caravel.spef line 35250, *2821 not connected to net la_data_out_user\[6\]. +Warning: ./spef/caravel.spef line 35262, *2821 not connected to net la_data_out_user\[70\]. +Warning: ./spef/caravel.spef line 35274, *2821 not connected to net la_data_out_user\[70\]. +Warning: ./spef/caravel.spef line 35286, *2821 not connected to net la_data_out_user\[71\]. +Warning: ./spef/caravel.spef line 35312, *2821 not connected to net la_data_out_user\[71\]. +Warning: ./spef/caravel.spef line 35327, *2821 not connected to net la_data_out_user\[72\]. +Warning: ./spef/caravel.spef line 35339, *2821 not connected to net la_data_out_user\[72\]. +Warning: ./spef/caravel.spef line 35351, *2821 not connected to net la_data_out_user\[73\]. +Warning: ./spef/caravel.spef line 35366, *2821 not connected to net la_data_out_user\[73\]. +Warning: ./spef/caravel.spef line 35377, *2821 not connected to net la_data_out_user\[74\]. +Warning: ./spef/caravel.spef line 35390, *2821 not connected to net la_data_out_user\[74\]. +Warning: ./spef/caravel.spef line 35402, *2821 not connected to net la_data_out_user\[75\]. +Warning: ./spef/caravel.spef line 35424, *2821 not connected to net la_data_out_user\[75\]. +Warning: ./spef/caravel.spef line 35437, *2821 not connected to net la_data_out_user\[76\]. +Warning: ./spef/caravel.spef line 35450, *2821 not connected to net la_data_out_user\[76\]. +Warning: ./spef/caravel.spef line 35462, *2821 not connected to net la_data_out_user\[77\]. +Warning: ./spef/caravel.spef line 35477, *2821 not connected to net la_data_out_user\[77\]. +Warning: ./spef/caravel.spef line 35488, *2821 not connected to net la_data_out_user\[78\]. +Warning: ./spef/caravel.spef line 35500, *2821 not connected to net la_data_out_user\[78\]. +Warning: ./spef/caravel.spef line 35512, *2821 not connected to net la_data_out_user\[79\]. +Warning: ./spef/caravel.spef line 35528, *2821 not connected to net la_data_out_user\[79\]. +Warning: ./spef/caravel.spef line 35541, *2821 not connected to net la_data_out_user\[7\]. +Warning: ./spef/caravel.spef line 35559, *2821 not connected to net la_data_out_user\[7\]. +Warning: ./spef/caravel.spef line 35571, *2821 not connected to net la_data_out_user\[80\]. +Warning: ./spef/caravel.spef line 35594, *2821 not connected to net la_data_out_user\[80\]. +Warning: ./spef/caravel.spef line 35605, *2821 not connected to net la_data_out_user\[81\]. +Warning: ./spef/caravel.spef line 35617, *2821 not connected to net la_data_out_user\[81\]. +Warning: ./spef/caravel.spef line 35628, *2821 not connected to net la_data_out_user\[82\]. +Warning: ./spef/caravel.spef line 35641, *2821 not connected to net la_data_out_user\[82\]. +Warning: ./spef/caravel.spef line 35653, *2821 not connected to net la_data_out_user\[83\]. +Warning: ./spef/caravel.spef line 35666, *2821 not connected to net la_data_out_user\[83\]. +Warning: ./spef/caravel.spef line 35678, *2821 not connected to net la_data_out_user\[84\]. +Warning: ./spef/caravel.spef line 35690, *2821 not connected to net la_data_out_user\[84\]. +Warning: ./spef/caravel.spef line 35702, *2821 not connected to net la_data_out_user\[85\]. +Warning: ./spef/caravel.spef line 35716, *2821 not connected to net la_data_out_user\[85\]. +Warning: ./spef/caravel.spef line 35728, *2821 not connected to net la_data_out_user\[86\]. +Warning: ./spef/caravel.spef line 35748, *2821 not connected to net la_data_out_user\[86\]. +Warning: ./spef/caravel.spef line 35762, *2821 not connected to net la_data_out_user\[87\]. +Warning: ./spef/caravel.spef line 35777, *2821 not connected to net la_data_out_user\[87\]. +Warning: ./spef/caravel.spef line 35789, *2821 not connected to net la_data_out_user\[88\]. +Warning: ./spef/caravel.spef line 35812, *2821 not connected to net la_data_out_user\[88\]. +Warning: ./spef/caravel.spef line 35825, *2821 not connected to net la_data_out_user\[89\]. +Warning: ./spef/caravel.spef line 35841, *2821 not connected to net la_data_out_user\[89\]. +Warning: ./spef/caravel.spef line 35853, *2821 not connected to net la_data_out_user\[8\]. +Warning: ./spef/caravel.spef line 35869, *2821 not connected to net la_data_out_user\[8\]. +Warning: ./spef/caravel.spef line 35881, *2821 not connected to net la_data_out_user\[90\]. +Warning: ./spef/caravel.spef line 35900, *2821 not connected to net la_data_out_user\[90\]. +Warning: ./spef/caravel.spef line 35913, *2821 not connected to net la_data_out_user\[91\]. +Warning: ./spef/caravel.spef line 35931, *2821 not connected to net la_data_out_user\[91\]. +Warning: ./spef/caravel.spef line 35945, *2821 not connected to net la_data_out_user\[92\]. +Warning: ./spef/caravel.spef line 35963, *2821 not connected to net la_data_out_user\[92\]. +Warning: ./spef/caravel.spef line 35976, *2821 not connected to net la_data_out_user\[93\]. +Warning: ./spef/caravel.spef line 35999, *2821 not connected to net la_data_out_user\[93\]. +Warning: ./spef/caravel.spef line 36011, *2821 not connected to net la_data_out_user\[94\]. +Warning: ./spef/caravel.spef line 36035, *2821 not connected to net la_data_out_user\[94\]. +Warning: ./spef/caravel.spef line 36046, *2821 not connected to net la_data_out_user\[95\]. +Warning: ./spef/caravel.spef line 36069, *2821 not connected to net la_data_out_user\[95\]. +Warning: ./spef/caravel.spef line 36080, *2821 not connected to net la_data_out_user\[96\]. +Warning: ./spef/caravel.spef line 36096, *2821 not connected to net la_data_out_user\[96\]. +Warning: ./spef/caravel.spef line 36108, *2821 not connected to net la_data_out_user\[97\]. +Warning: ./spef/caravel.spef line 36120, *2821 not connected to net la_data_out_user\[97\]. +Warning: ./spef/caravel.spef line 36131, *2821 not connected to net la_data_out_user\[98\]. +Warning: ./spef/caravel.spef line 36146, *2821 not connected to net la_data_out_user\[98\]. +Warning: ./spef/caravel.spef line 36159, *2821 not connected to net la_data_out_user\[99\]. +Warning: ./spef/caravel.spef line 36174, *2821 not connected to net la_data_out_user\[99\]. +Warning: ./spef/caravel.spef line 36185, *2821 not connected to net la_data_out_user\[9\]. +Warning: ./spef/caravel.spef line 36197, *2821 not connected to net la_data_out_user\[9\]. +Warning: ./spef/caravel.spef line 44480, *2821 not connected to net la_oenb_user\[0\]. +Warning: ./spef/caravel.spef line 44504, *2821 not connected to net la_oenb_user\[0\]. +Warning: ./spef/caravel.spef line 44512, *2821 not connected to net la_oenb_user\[100\]. +Warning: ./spef/caravel.spef line 44538, *2821 not connected to net la_oenb_user\[100\]. +Warning: ./spef/caravel.spef line 44546, *2821 not connected to net la_oenb_user\[101\]. +Warning: ./spef/caravel.spef line 44577, *2821 not connected to net la_oenb_user\[101\]. +Warning: ./spef/caravel.spef line 44585, *2821 not connected to net la_oenb_user\[102\]. +Warning: ./spef/caravel.spef line 44605, *2821 not connected to net la_oenb_user\[102\]. +Warning: ./spef/caravel.spef line 44613, *2821 not connected to net la_oenb_user\[103\]. +Warning: ./spef/caravel.spef line 44633, *2821 not connected to net la_oenb_user\[103\]. +Warning: ./spef/caravel.spef line 44641, *2821 not connected to net la_oenb_user\[104\]. +Warning: ./spef/caravel.spef line 44661, *2821 not connected to net la_oenb_user\[104\]. +Warning: ./spef/caravel.spef line 44669, *2821 not connected to net la_oenb_user\[105\]. +Warning: ./spef/caravel.spef line 44688, *2821 not connected to net la_oenb_user\[105\]. +Warning: ./spef/caravel.spef line 44696, *2821 not connected to net la_oenb_user\[106\]. +Warning: ./spef/caravel.spef line 44715, *2821 not connected to net la_oenb_user\[106\]. +Warning: ./spef/caravel.spef line 44723, *2821 not connected to net la_oenb_user\[107\]. +Warning: ./spef/caravel.spef line 44746, *2821 not connected to net la_oenb_user\[107\]. +Warning: ./spef/caravel.spef line 44754, *2821 not connected to net la_oenb_user\[108\]. +Warning: ./spef/caravel.spef line 44773, *2821 not connected to net la_oenb_user\[108\]. +Warning: ./spef/caravel.spef line 44781, *2821 not connected to net la_oenb_user\[109\]. +Warning: ./spef/caravel.spef line 44799, *2821 not connected to net la_oenb_user\[109\]. +Warning: ./spef/caravel.spef line 44807, *2821 not connected to net la_oenb_user\[10\]. +Warning: ./spef/caravel.spef line 44825, *2821 not connected to net la_oenb_user\[10\]. +Warning: ./spef/caravel.spef line 44833, *2821 not connected to net la_oenb_user\[110\]. +Warning: ./spef/caravel.spef line 44852, *2821 not connected to net la_oenb_user\[110\]. +Warning: ./spef/caravel.spef line 44860, *2821 not connected to net la_oenb_user\[111\]. +Warning: ./spef/caravel.spef line 44879, *2821 not connected to net la_oenb_user\[111\]. +Warning: ./spef/caravel.spef line 44887, *2821 not connected to net la_oenb_user\[112\]. +Warning: ./spef/caravel.spef line 44908, *2821 not connected to net la_oenb_user\[112\]. +Warning: ./spef/caravel.spef line 44916, *2821 not connected to net la_oenb_user\[113\]. +Warning: ./spef/caravel.spef line 44934, *2821 not connected to net la_oenb_user\[113\]. +Warning: ./spef/caravel.spef line 44942, *2821 not connected to net la_oenb_user\[114\]. +Warning: ./spef/caravel.spef line 44962, *2821 not connected to net la_oenb_user\[114\]. +Warning: ./spef/caravel.spef line 44970, *2821 not connected to net la_oenb_user\[115\]. +Warning: ./spef/caravel.spef line 44986, *2821 not connected to net la_oenb_user\[115\]. +Warning: ./spef/caravel.spef line 44994, *2821 not connected to net la_oenb_user\[116\]. +Warning: ./spef/caravel.spef line 45018, *2821 not connected to net la_oenb_user\[116\]. +Warning: ./spef/caravel.spef line 45026, *2821 not connected to net la_oenb_user\[117\]. +Warning: ./spef/caravel.spef line 45043, *2821 not connected to net la_oenb_user\[117\]. +Warning: ./spef/caravel.spef line 45051, *2821 not connected to net la_oenb_user\[118\]. +Warning: ./spef/caravel.spef line 45081, *2821 not connected to net la_oenb_user\[118\]. +Warning: ./spef/caravel.spef line 45089, *2821 not connected to net la_oenb_user\[119\]. +Warning: ./spef/caravel.spef line 45105, *2821 not connected to net la_oenb_user\[119\]. +Warning: ./spef/caravel.spef line 45113, *2821 not connected to net la_oenb_user\[11\]. +Warning: ./spef/caravel.spef line 45128, *2821 not connected to net la_oenb_user\[11\]. +Warning: ./spef/caravel.spef line 45136, *2821 not connected to net la_oenb_user\[120\]. +Warning: ./spef/caravel.spef line 45156, *2821 not connected to net la_oenb_user\[120\]. +Warning: ./spef/caravel.spef line 45164, *2821 not connected to net la_oenb_user\[121\]. +Warning: ./spef/caravel.spef line 45180, *2821 not connected to net la_oenb_user\[121\]. +Warning: ./spef/caravel.spef line 45188, *2821 not connected to net la_oenb_user\[122\]. +Warning: ./spef/caravel.spef line 45206, *2821 not connected to net la_oenb_user\[122\]. +Warning: ./spef/caravel.spef line 45214, *2821 not connected to net la_oenb_user\[123\]. +Warning: ./spef/caravel.spef line 45232, *2821 not connected to net la_oenb_user\[123\]. +Warning: ./spef/caravel.spef line 45240, *2821 not connected to net la_oenb_user\[124\]. +Warning: ./spef/caravel.spef line 45260, *2821 not connected to net la_oenb_user\[124\]. +Warning: ./spef/caravel.spef line 45268, *2821 not connected to net la_oenb_user\[125\]. +Warning: ./spef/caravel.spef line 45285, *2821 not connected to net la_oenb_user\[125\]. +Warning: ./spef/caravel.spef line 45293, *2821 not connected to net la_oenb_user\[126\]. +Warning: ./spef/caravel.spef line 45313, *2821 not connected to net la_oenb_user\[126\]. +Warning: ./spef/caravel.spef line 45321, *2821 not connected to net la_oenb_user\[127\]. +Warning: ./spef/caravel.spef line 45337, *2821 not connected to net la_oenb_user\[127\]. +Warning: ./spef/caravel.spef line 45345, *2821 not connected to net la_oenb_user\[12\]. +Warning: ./spef/caravel.spef line 45361, *2821 not connected to net la_oenb_user\[12\]. +Warning: ./spef/caravel.spef line 45369, *2821 not connected to net la_oenb_user\[13\]. +Warning: ./spef/caravel.spef line 45387, *2821 not connected to net la_oenb_user\[13\]. +Warning: ./spef/caravel.spef line 45395, *2821 not connected to net la_oenb_user\[14\]. +Warning: ./spef/caravel.spef line 45413, *2821 not connected to net la_oenb_user\[14\]. +Warning: ./spef/caravel.spef line 45421, *2821 not connected to net la_oenb_user\[15\]. +Warning: ./spef/caravel.spef line 45435, *2821 not connected to net la_oenb_user\[15\]. +Warning: ./spef/caravel.spef line 45443, *2821 not connected to net la_oenb_user\[16\]. +Warning: ./spef/caravel.spef line 45458, *2821 not connected to net la_oenb_user\[16\]. +Warning: ./spef/caravel.spef line 45466, *2821 not connected to net la_oenb_user\[17\]. +Warning: ./spef/caravel.spef line 45482, *2821 not connected to net la_oenb_user\[17\]. +Warning: ./spef/caravel.spef line 45490, *2821 not connected to net la_oenb_user\[18\]. +Warning: ./spef/caravel.spef line 45506, *2821 not connected to net la_oenb_user\[18\]. +Warning: ./spef/caravel.spef line 45514, *2821 not connected to net la_oenb_user\[19\]. +Warning: ./spef/caravel.spef line 45527, *2821 not connected to net la_oenb_user\[19\]. +Warning: ./spef/caravel.spef line 45535, *2821 not connected to net la_oenb_user\[1\]. +Warning: ./spef/caravel.spef line 45562, *2821 not connected to net la_oenb_user\[1\]. +Warning: ./spef/caravel.spef line 45570, *2821 not connected to net la_oenb_user\[20\]. +Warning: ./spef/caravel.spef line 45583, *2821 not connected to net la_oenb_user\[20\]. +Warning: ./spef/caravel.spef line 45591, *2821 not connected to net la_oenb_user\[21\]. +Warning: ./spef/caravel.spef line 45598, *2821 not connected to net la_oenb_user\[21\]. +Warning: ./spef/caravel.spef line 45606, *2821 not connected to net la_oenb_user\[22\]. +Warning: ./spef/caravel.spef line 45619, *2821 not connected to net la_oenb_user\[22\]. +Warning: ./spef/caravel.spef line 45627, *2821 not connected to net la_oenb_user\[23\]. +Warning: ./spef/caravel.spef line 45642, *2821 not connected to net la_oenb_user\[23\]. +Warning: ./spef/caravel.spef line 45650, *2821 not connected to net la_oenb_user\[24\]. +Warning: ./spef/caravel.spef line 45666, *2821 not connected to net la_oenb_user\[24\]. +Warning: ./spef/caravel.spef line 45674, *2821 not connected to net la_oenb_user\[25\]. +Warning: ./spef/caravel.spef line 45694, *2821 not connected to net la_oenb_user\[25\]. +Warning: ./spef/caravel.spef line 45702, *2821 not connected to net la_oenb_user\[26\]. +Warning: ./spef/caravel.spef line 45719, *2821 not connected to net la_oenb_user\[26\]. +Warning: ./spef/caravel.spef line 45727, *2821 not connected to net la_oenb_user\[27\]. +Warning: ./spef/caravel.spef line 45741, *2821 not connected to net la_oenb_user\[27\]. +Warning: ./spef/caravel.spef line 45749, *2821 not connected to net la_oenb_user\[28\]. +Warning: ./spef/caravel.spef line 45774, *2821 not connected to net la_oenb_user\[28\]. +Warning: ./spef/caravel.spef line 45782, *2821 not connected to net la_oenb_user\[29\]. +Warning: ./spef/caravel.spef line 45807, *2821 not connected to net la_oenb_user\[29\]. +Warning: ./spef/caravel.spef line 45815, *2821 not connected to net la_oenb_user\[2\]. +Warning: ./spef/caravel.spef line 45834, *2821 not connected to net la_oenb_user\[2\]. +Warning: ./spef/caravel.spef line 45842, *2821 not connected to net la_oenb_user\[30\]. +Warning: ./spef/caravel.spef line 45865, *2821 not connected to net la_oenb_user\[30\]. +Warning: ./spef/caravel.spef line 45873, *2821 not connected to net la_oenb_user\[31\]. +Warning: ./spef/caravel.spef line 45894, *2821 not connected to net la_oenb_user\[31\]. +Warning: ./spef/caravel.spef line 45902, *2821 not connected to net la_oenb_user\[32\]. +Warning: ./spef/caravel.spef line 45921, *2821 not connected to net la_oenb_user\[32\]. +Warning: ./spef/caravel.spef line 45929, *2821 not connected to net la_oenb_user\[33\]. +Warning: ./spef/caravel.spef line 45945, *2821 not connected to net la_oenb_user\[33\]. +Warning: ./spef/caravel.spef line 45953, *2821 not connected to net la_oenb_user\[34\]. +Warning: ./spef/caravel.spef line 45968, *2821 not connected to net la_oenb_user\[34\]. +Warning: ./spef/caravel.spef line 45976, *2821 not connected to net la_oenb_user\[35\]. +Warning: ./spef/caravel.spef line 45991, *2821 not connected to net la_oenb_user\[35\]. +Warning: ./spef/caravel.spef line 45999, *2821 not connected to net la_oenb_user\[36\]. +Warning: ./spef/caravel.spef line 46015, *2821 not connected to net la_oenb_user\[36\]. +Warning: ./spef/caravel.spef line 46023, *2821 not connected to net la_oenb_user\[37\]. +Warning: ./spef/caravel.spef line 46037, *2821 not connected to net la_oenb_user\[37\]. +Warning: ./spef/caravel.spef line 46045, *2821 not connected to net la_oenb_user\[38\]. +Warning: ./spef/caravel.spef line 46062, *2821 not connected to net la_oenb_user\[38\]. +Warning: ./spef/caravel.spef line 46070, *2821 not connected to net la_oenb_user\[39\]. +Warning: ./spef/caravel.spef line 46090, *2821 not connected to net la_oenb_user\[39\]. +Warning: ./spef/caravel.spef line 46098, *2821 not connected to net la_oenb_user\[3\]. +Warning: ./spef/caravel.spef line 46118, *2821 not connected to net la_oenb_user\[3\]. +Warning: ./spef/caravel.spef line 46126, *2821 not connected to net la_oenb_user\[40\]. +Warning: ./spef/caravel.spef line 46145, *2821 not connected to net la_oenb_user\[40\]. +Warning: ./spef/caravel.spef line 46153, *2821 not connected to net la_oenb_user\[41\]. +Warning: ./spef/caravel.spef line 46172, *2821 not connected to net la_oenb_user\[41\]. +Warning: ./spef/caravel.spef line 46180, *2821 not connected to net la_oenb_user\[42\]. +Warning: ./spef/caravel.spef line 46201, *2821 not connected to net la_oenb_user\[42\]. +Warning: ./spef/caravel.spef line 46209, *2821 not connected to net la_oenb_user\[43\]. +Warning: ./spef/caravel.spef line 46234, *2821 not connected to net la_oenb_user\[43\]. +Warning: ./spef/caravel.spef line 46242, *2821 not connected to net la_oenb_user\[44\]. +Warning: ./spef/caravel.spef line 46269, *2821 not connected to net la_oenb_user\[44\]. +Warning: ./spef/caravel.spef line 46277, *2821 not connected to net la_oenb_user\[45\]. +Warning: ./spef/caravel.spef line 46298, *2821 not connected to net la_oenb_user\[45\]. +Warning: ./spef/caravel.spef line 46306, *2821 not connected to net la_oenb_user\[46\]. +Warning: ./spef/caravel.spef line 46325, *2821 not connected to net la_oenb_user\[46\]. +Warning: ./spef/caravel.spef line 46333, *2821 not connected to net la_oenb_user\[47\]. +Warning: ./spef/caravel.spef line 46354, *2821 not connected to net la_oenb_user\[47\]. +Warning: ./spef/caravel.spef line 46362, *2821 not connected to net la_oenb_user\[48\]. +Warning: ./spef/caravel.spef line 46394, *2821 not connected to net la_oenb_user\[48\]. +Warning: ./spef/caravel.spef line 46402, *2821 not connected to net la_oenb_user\[49\]. +Warning: ./spef/caravel.spef line 46424, *2821 not connected to net la_oenb_user\[49\]. +Warning: ./spef/caravel.spef line 46432, *2821 not connected to net la_oenb_user\[4\]. +Warning: ./spef/caravel.spef line 46454, *2821 not connected to net la_oenb_user\[4\]. +Warning: ./spef/caravel.spef line 46462, *2821 not connected to net la_oenb_user\[50\]. +Warning: ./spef/caravel.spef line 46483, *2821 not connected to net la_oenb_user\[50\]. +Warning: ./spef/caravel.spef line 46491, *2821 not connected to net la_oenb_user\[51\]. +Warning: ./spef/caravel.spef line 46512, *2821 not connected to net la_oenb_user\[51\]. +Warning: ./spef/caravel.spef line 46520, *2821 not connected to net la_oenb_user\[52\]. +Warning: ./spef/caravel.spef line 46548, *2821 not connected to net la_oenb_user\[52\]. +Warning: ./spef/caravel.spef line 46556, *2821 not connected to net la_oenb_user\[53\]. +Warning: ./spef/caravel.spef line 46575, *2821 not connected to net la_oenb_user\[53\]. +Warning: ./spef/caravel.spef line 46583, *2821 not connected to net la_oenb_user\[54\]. +Warning: ./spef/caravel.spef line 46622, *2821 not connected to net la_oenb_user\[54\]. +Warning: ./spef/caravel.spef line 46630, *2821 not connected to net la_oenb_user\[55\]. +Warning: ./spef/caravel.spef line 46653, *2821 not connected to net la_oenb_user\[55\]. +Warning: ./spef/caravel.spef line 46661, *2821 not connected to net la_oenb_user\[56\]. +Warning: ./spef/caravel.spef line 46679, *2821 not connected to net la_oenb_user\[56\]. +Warning: ./spef/caravel.spef line 46687, *2821 not connected to net la_oenb_user\[57\]. +Warning: ./spef/caravel.spef line 46715, *2821 not connected to net la_oenb_user\[57\]. +Warning: ./spef/caravel.spef line 46723, *2821 not connected to net la_oenb_user\[58\]. +Warning: ./spef/caravel.spef line 46742, *2821 not connected to net la_oenb_user\[58\]. +Warning: ./spef/caravel.spef line 46750, *2821 not connected to net la_oenb_user\[59\]. +Warning: ./spef/caravel.spef line 46779, *2821 not connected to net la_oenb_user\[59\]. +Warning: ./spef/caravel.spef line 46787, *2821 not connected to net la_oenb_user\[5\]. +Warning: ./spef/caravel.spef line 46806, *2821 not connected to net la_oenb_user\[5\]. +Warning: ./spef/caravel.spef line 46814, *2821 not connected to net la_oenb_user\[60\]. +Warning: ./spef/caravel.spef line 46834, *2821 not connected to net la_oenb_user\[60\]. +Warning: ./spef/caravel.spef line 46842, *2821 not connected to net la_oenb_user\[61\]. +Warning: ./spef/caravel.spef line 46876, *2821 not connected to net la_oenb_user\[61\]. +Warning: ./spef/caravel.spef line 46884, *2821 not connected to net la_oenb_user\[62\]. +Warning: ./spef/caravel.spef line 46909, *2821 not connected to net la_oenb_user\[62\]. +Warning: ./spef/caravel.spef line 46917, *2821 not connected to net la_oenb_user\[63\]. +Warning: ./spef/caravel.spef line 46950, *2821 not connected to net la_oenb_user\[63\]. +Warning: ./spef/caravel.spef line 46958, *2821 not connected to net la_oenb_user\[64\]. +Warning: ./spef/caravel.spef line 46991, *2821 not connected to net la_oenb_user\[64\]. +Warning: ./spef/caravel.spef line 46999, *2821 not connected to net la_oenb_user\[65\]. +Warning: ./spef/caravel.spef line 47032, *2821 not connected to net la_oenb_user\[65\]. +Warning: ./spef/caravel.spef line 47040, *2821 not connected to net la_oenb_user\[66\]. +Warning: ./spef/caravel.spef line 47083, *2821 not connected to net la_oenb_user\[66\]. +Warning: ./spef/caravel.spef line 47091, *2821 not connected to net la_oenb_user\[67\]. +Warning: ./spef/caravel.spef line 47126, *2821 not connected to net la_oenb_user\[67\]. +Warning: ./spef/caravel.spef line 47134, *2821 not connected to net la_oenb_user\[68\]. +Warning: ./spef/caravel.spef line 47162, *2821 not connected to net la_oenb_user\[68\]. +Warning: ./spef/caravel.spef line 47170, *2821 not connected to net la_oenb_user\[69\]. +Warning: ./spef/caravel.spef line 47192, *2821 not connected to net la_oenb_user\[69\]. +Warning: ./spef/caravel.spef line 47200, *2821 not connected to net la_oenb_user\[6\]. +Warning: ./spef/caravel.spef line 47219, *2821 not connected to net la_oenb_user\[6\]. +Warning: ./spef/caravel.spef line 47227, *2821 not connected to net la_oenb_user\[70\]. +Warning: ./spef/caravel.spef line 47253, *2821 not connected to net la_oenb_user\[70\]. +Warning: ./spef/caravel.spef line 47261, *2821 not connected to net la_oenb_user\[71\]. +Warning: ./spef/caravel.spef line 47277, *2821 not connected to net la_oenb_user\[71\]. +Warning: ./spef/caravel.spef line 47285, *2821 not connected to net la_oenb_user\[72\]. +Warning: ./spef/caravel.spef line 47302, *2821 not connected to net la_oenb_user\[72\]. +Warning: ./spef/caravel.spef line 47310, *2821 not connected to net la_oenb_user\[73\]. +Warning: ./spef/caravel.spef line 47327, *2821 not connected to net la_oenb_user\[73\]. +Warning: ./spef/caravel.spef line 47335, *2821 not connected to net la_oenb_user\[74\]. +Warning: ./spef/caravel.spef line 47351, *2821 not connected to net la_oenb_user\[74\]. +Warning: ./spef/caravel.spef line 47359, *2821 not connected to net la_oenb_user\[75\]. +Warning: ./spef/caravel.spef line 47375, *2821 not connected to net la_oenb_user\[75\]. +Warning: ./spef/caravel.spef line 47383, *2821 not connected to net la_oenb_user\[76\]. +Warning: ./spef/caravel.spef line 47407, *2821 not connected to net la_oenb_user\[76\]. +Warning: ./spef/caravel.spef line 47415, *2821 not connected to net la_oenb_user\[77\]. +Warning: ./spef/caravel.spef line 47435, *2821 not connected to net la_oenb_user\[77\]. +Warning: ./spef/caravel.spef line 47443, *2821 not connected to net la_oenb_user\[78\]. +Warning: ./spef/caravel.spef line 47477, *2821 not connected to net la_oenb_user\[78\]. +Warning: ./spef/caravel.spef line 47485, *2821 not connected to net la_oenb_user\[79\]. +Warning: ./spef/caravel.spef line 47504, *2821 not connected to net la_oenb_user\[79\]. +Warning: ./spef/caravel.spef line 47512, *2821 not connected to net la_oenb_user\[7\]. +Warning: ./spef/caravel.spef line 47532, *2821 not connected to net la_oenb_user\[7\]. +Warning: ./spef/caravel.spef line 47540, *2821 not connected to net la_oenb_user\[80\]. +Warning: ./spef/caravel.spef line 47556, *2821 not connected to net la_oenb_user\[80\]. +Warning: ./spef/caravel.spef line 47564, *2821 not connected to net la_oenb_user\[81\]. +Warning: ./spef/caravel.spef line 47578, *2821 not connected to net la_oenb_user\[81\]. +Warning: ./spef/caravel.spef line 47586, *2821 not connected to net la_oenb_user\[82\]. +Warning: ./spef/caravel.spef line 47600, *2821 not connected to net la_oenb_user\[82\]. +Warning: ./spef/caravel.spef line 47608, *2821 not connected to net la_oenb_user\[83\]. +Warning: ./spef/caravel.spef line 47624, *2821 not connected to net la_oenb_user\[83\]. +Warning: ./spef/caravel.spef line 47632, *2821 not connected to net la_oenb_user\[84\]. +Warning: ./spef/caravel.spef line 47660, *2821 not connected to net la_oenb_user\[84\]. +Warning: ./spef/caravel.spef line 47668, *2821 not connected to net la_oenb_user\[85\]. +Warning: ./spef/caravel.spef line 47689, *2821 not connected to net la_oenb_user\[85\]. +Warning: ./spef/caravel.spef line 47697, *2821 not connected to net la_oenb_user\[86\]. +Warning: ./spef/caravel.spef line 47717, *2821 not connected to net la_oenb_user\[86\]. +Warning: ./spef/caravel.spef line 47725, *2821 not connected to net la_oenb_user\[87\]. +Warning: ./spef/caravel.spef line 47744, *2821 not connected to net la_oenb_user\[87\]. +Warning: ./spef/caravel.spef line 47752, *2821 not connected to net la_oenb_user\[88\]. +Warning: ./spef/caravel.spef line 47772, *2821 not connected to net la_oenb_user\[88\]. +Warning: ./spef/caravel.spef line 47780, *2821 not connected to net la_oenb_user\[89\]. +Warning: ./spef/caravel.spef line 47797, *2821 not connected to net la_oenb_user\[89\]. +Warning: ./spef/caravel.spef line 47805, *2821 not connected to net la_oenb_user\[8\]. +Warning: ./spef/caravel.spef line 47821, *2821 not connected to net la_oenb_user\[8\]. +Warning: ./spef/caravel.spef line 47829, *2821 not connected to net la_oenb_user\[90\]. +Warning: ./spef/caravel.spef line 47852, *2821 not connected to net la_oenb_user\[90\]. +Warning: ./spef/caravel.spef line 47860, *2821 not connected to net la_oenb_user\[91\]. +Warning: ./spef/caravel.spef line 47878, *2821 not connected to net la_oenb_user\[91\]. +Warning: ./spef/caravel.spef line 47886, *2821 not connected to net la_oenb_user\[92\]. +Warning: ./spef/caravel.spef line 47910, *2821 not connected to net la_oenb_user\[92\]. +Warning: ./spef/caravel.spef line 47918, *2821 not connected to net la_oenb_user\[93\]. +Warning: ./spef/caravel.spef line 47946, *2821 not connected to net la_oenb_user\[93\]. +Warning: ./spef/caravel.spef line 47954, *2821 not connected to net la_oenb_user\[94\]. +Warning: ./spef/caravel.spef line 47970, *2821 not connected to net la_oenb_user\[94\]. +Warning: ./spef/caravel.spef line 47978, *2821 not connected to net la_oenb_user\[95\]. +Warning: ./spef/caravel.spef line 48004, *2821 not connected to net la_oenb_user\[95\]. +Warning: ./spef/caravel.spef line 48012, *2821 not connected to net la_oenb_user\[96\]. +Warning: ./spef/caravel.spef line 48031, *2821 not connected to net la_oenb_user\[96\]. +Warning: ./spef/caravel.spef line 48039, *2821 not connected to net la_oenb_user\[97\]. +Warning: ./spef/caravel.spef line 48063, *2821 not connected to net la_oenb_user\[97\]. +Warning: ./spef/caravel.spef line 48071, *2821 not connected to net la_oenb_user\[98\]. +Warning: ./spef/caravel.spef line 48087, *2821 not connected to net la_oenb_user\[98\]. +Warning: ./spef/caravel.spef line 48095, *2821 not connected to net la_oenb_user\[99\]. +Warning: ./spef/caravel.spef line 48116, *2821 not connected to net la_oenb_user\[99\]. +Warning: ./spef/caravel.spef line 48124, *2821 not connected to net la_oenb_user\[9\]. +Warning: ./spef/caravel.spef line 48137, *2821 not connected to net la_oenb_user\[9\]. +Warning: ./spef/caravel.spef line 52753, *2821 not connected to net mprj_adr_o_user\[0\]. +Warning: ./spef/caravel.spef line 52767, *2821 not connected to net mprj_adr_o_user\[0\]. +Warning: ./spef/caravel.spef line 52775, *2821 not connected to net mprj_adr_o_user\[10\]. +Warning: ./spef/caravel.spef line 52790, *2821 not connected to net mprj_adr_o_user\[10\]. +Warning: ./spef/caravel.spef line 52798, *2821 not connected to net mprj_adr_o_user\[11\]. +Warning: ./spef/caravel.spef line 52812, *2821 not connected to net mprj_adr_o_user\[11\]. +Warning: ./spef/caravel.spef line 52820, *2821 not connected to net mprj_adr_o_user\[12\]. +Warning: ./spef/caravel.spef line 52853, *2821 not connected to net mprj_adr_o_user\[12\]. +Warning: ./spef/caravel.spef line 52861, *2821 not connected to net mprj_adr_o_user\[13\]. +Warning: ./spef/caravel.spef line 52873, *2821 not connected to net mprj_adr_o_user\[13\]. +Warning: ./spef/caravel.spef line 52881, *2821 not connected to net mprj_adr_o_user\[14\]. +Warning: ./spef/caravel.spef line 52908, *2821 not connected to net mprj_adr_o_user\[14\]. +Warning: ./spef/caravel.spef line 52916, *2821 not connected to net mprj_adr_o_user\[15\]. +Warning: ./spef/caravel.spef line 52955, *2821 not connected to net mprj_adr_o_user\[15\]. +Warning: ./spef/caravel.spef line 52963, *2821 not connected to net mprj_adr_o_user\[16\]. +Warning: ./spef/caravel.spef line 52992, *2821 not connected to net mprj_adr_o_user\[16\]. +Warning: ./spef/caravel.spef line 53000, *2821 not connected to net mprj_adr_o_user\[17\]. +Warning: ./spef/caravel.spef line 53020, *2821 not connected to net mprj_adr_o_user\[17\]. +Warning: ./spef/caravel.spef line 53028, *2821 not connected to net mprj_adr_o_user\[18\]. +Warning: ./spef/caravel.spef line 53054, *2821 not connected to net mprj_adr_o_user\[18\]. +Warning: ./spef/caravel.spef line 53062, *2821 not connected to net mprj_adr_o_user\[19\]. +Warning: ./spef/caravel.spef line 53080, *2821 not connected to net mprj_adr_o_user\[19\]. +Warning: ./spef/caravel.spef line 53088, *2821 not connected to net mprj_adr_o_user\[1\]. +Warning: ./spef/caravel.spef line 53103, *2821 not connected to net mprj_adr_o_user\[1\]. +Warning: ./spef/caravel.spef line 53111, *2821 not connected to net mprj_adr_o_user\[20\]. +Warning: ./spef/caravel.spef line 53131, *2821 not connected to net mprj_adr_o_user\[20\]. +Warning: ./spef/caravel.spef line 53139, *2821 not connected to net mprj_adr_o_user\[21\]. +Warning: ./spef/caravel.spef line 53159, *2821 not connected to net mprj_adr_o_user\[21\]. +Warning: ./spef/caravel.spef line 53167, *2821 not connected to net mprj_adr_o_user\[22\]. +Warning: ./spef/caravel.spef line 53201, *2821 not connected to net mprj_adr_o_user\[22\]. +Warning: ./spef/caravel.spef line 53209, *2821 not connected to net mprj_adr_o_user\[23\]. +Warning: ./spef/caravel.spef line 53225, *2821 not connected to net mprj_adr_o_user\[23\]. +Warning: ./spef/caravel.spef line 53233, *2821 not connected to net mprj_adr_o_user\[24\]. +Warning: ./spef/caravel.spef line 53265, *2821 not connected to net mprj_adr_o_user\[24\]. +Warning: ./spef/caravel.spef line 53273, *2821 not connected to net mprj_adr_o_user\[25\]. +Warning: ./spef/caravel.spef line 53290, *2821 not connected to net mprj_adr_o_user\[25\]. +Warning: ./spef/caravel.spef line 53298, *2821 not connected to net mprj_adr_o_user\[26\]. +Warning: ./spef/caravel.spef line 53322, *2821 not connected to net mprj_adr_o_user\[26\]. +Warning: ./spef/caravel.spef line 53330, *2821 not connected to net mprj_adr_o_user\[27\]. +Warning: ./spef/caravel.spef line 53347, *2821 not connected to net mprj_adr_o_user\[27\]. +Warning: ./spef/caravel.spef line 53355, *2821 not connected to net mprj_adr_o_user\[28\]. +Warning: ./spef/caravel.spef line 53380, *2821 not connected to net mprj_adr_o_user\[28\]. +Warning: ./spef/caravel.spef line 53388, *2821 not connected to net mprj_adr_o_user\[29\]. +Warning: ./spef/caravel.spef line 53409, *2821 not connected to net mprj_adr_o_user\[29\]. +Warning: ./spef/caravel.spef line 53417, *2821 not connected to net mprj_adr_o_user\[2\]. +Warning: ./spef/caravel.spef line 53434, *2821 not connected to net mprj_adr_o_user\[2\]. +Warning: ./spef/caravel.spef line 53442, *2821 not connected to net mprj_adr_o_user\[30\]. +Warning: ./spef/caravel.spef line 53465, *2821 not connected to net mprj_adr_o_user\[30\]. +Warning: ./spef/caravel.spef line 53473, *2821 not connected to net mprj_adr_o_user\[31\]. +Warning: ./spef/caravel.spef line 53489, *2821 not connected to net mprj_adr_o_user\[31\]. +Warning: ./spef/caravel.spef line 53497, *2821 not connected to net mprj_adr_o_user\[3\]. +Warning: ./spef/caravel.spef line 53515, *2821 not connected to net mprj_adr_o_user\[3\]. +Warning: ./spef/caravel.spef line 53523, *2821 not connected to net mprj_adr_o_user\[4\]. +Warning: ./spef/caravel.spef line 53544, *2821 not connected to net mprj_adr_o_user\[4\]. +Warning: ./spef/caravel.spef line 53552, *2821 not connected to net mprj_adr_o_user\[5\]. +Warning: ./spef/caravel.spef line 53574, *2821 not connected to net mprj_adr_o_user\[5\]. +Warning: ./spef/caravel.spef line 53582, *2821 not connected to net mprj_adr_o_user\[6\]. +Warning: ./spef/caravel.spef line 53602, *2821 not connected to net mprj_adr_o_user\[6\]. +Warning: ./spef/caravel.spef line 53610, *2821 not connected to net mprj_adr_o_user\[7\]. +Warning: ./spef/caravel.spef line 53629, *2821 not connected to net mprj_adr_o_user\[7\]. +Warning: ./spef/caravel.spef line 53637, *2821 not connected to net mprj_adr_o_user\[8\]. +Warning: ./spef/caravel.spef line 53656, *2821 not connected to net mprj_adr_o_user\[8\]. +Warning: ./spef/caravel.spef line 53664, *2821 not connected to net mprj_adr_o_user\[9\]. +Warning: ./spef/caravel.spef line 53682, *2821 not connected to net mprj_adr_o_user\[9\]. +Warning: ./spef/caravel.spef line 53740, *2819 not connected to net mprj_cyc_o_core. +Warning: ./spef/caravel.spef line 53775, *2819 not connected to net mprj_cyc_o_core. +Warning: ./spef/caravel.spef line 54963, *2821 not connected to net mprj_dat_i_user\[0\]. +Warning: ./spef/caravel.spef line 54983, *2821 not connected to net mprj_dat_i_user\[0\]. +Warning: ./spef/caravel.spef line 54995, *2821 not connected to net mprj_dat_i_user\[10\]. +Warning: ./spef/caravel.spef line 55019, *2821 not connected to net mprj_dat_i_user\[10\]. +Warning: ./spef/caravel.spef line 55030, *2821 not connected to net mprj_dat_i_user\[11\]. +Warning: ./spef/caravel.spef line 55049, *2821 not connected to net mprj_dat_i_user\[11\]. +Warning: ./spef/caravel.spef line 55061, *2821 not connected to net mprj_dat_i_user\[12\]. +Warning: ./spef/caravel.spef line 55082, *2821 not connected to net mprj_dat_i_user\[12\]. +Warning: ./spef/caravel.spef line 55093, *2821 not connected to net mprj_dat_i_user\[13\]. +Warning: ./spef/caravel.spef line 55114, *2821 not connected to net mprj_dat_i_user\[13\]. +Warning: ./spef/caravel.spef line 55126, *2821 not connected to net mprj_dat_i_user\[14\]. +Warning: ./spef/caravel.spef line 55140, *2821 not connected to net mprj_dat_i_user\[14\]. +Warning: ./spef/caravel.spef line 55153, *2821 not connected to net mprj_dat_i_user\[15\]. +Warning: ./spef/caravel.spef line 55176, *2821 not connected to net mprj_dat_i_user\[15\]. +Warning: ./spef/caravel.spef line 55190, *2821 not connected to net mprj_dat_i_user\[16\]. +Warning: ./spef/caravel.spef line 55204, *2821 not connected to net mprj_dat_i_user\[16\]. +Warning: ./spef/caravel.spef line 55216, *2821 not connected to net mprj_dat_i_user\[17\]. +Warning: ./spef/caravel.spef line 55237, *2821 not connected to net mprj_dat_i_user\[17\]. +Warning: ./spef/caravel.spef line 55251, *2821 not connected to net mprj_dat_i_user\[18\]. +Warning: ./spef/caravel.spef line 55267, *2821 not connected to net mprj_dat_i_user\[18\]. +Warning: ./spef/caravel.spef line 55279, *2821 not connected to net mprj_dat_i_user\[19\]. +Warning: ./spef/caravel.spef line 55297, *2821 not connected to net mprj_dat_i_user\[19\]. +Warning: ./spef/caravel.spef line 55310, *2821 not connected to net mprj_dat_i_user\[1\]. +Warning: ./spef/caravel.spef line 55325, *2821 not connected to net mprj_dat_i_user\[1\]. +Warning: ./spef/caravel.spef line 55337, *2821 not connected to net mprj_dat_i_user\[20\]. +Warning: ./spef/caravel.spef line 55351, *2821 not connected to net mprj_dat_i_user\[20\]. +Warning: ./spef/caravel.spef line 55363, *2821 not connected to net mprj_dat_i_user\[21\]. +Warning: ./spef/caravel.spef line 55389, *2821 not connected to net mprj_dat_i_user\[21\]. +Warning: ./spef/caravel.spef line 55403, *2821 not connected to net mprj_dat_i_user\[22\]. +Warning: ./spef/caravel.spef line 55427, *2821 not connected to net mprj_dat_i_user\[22\]. +Warning: ./spef/caravel.spef line 55442, *2821 not connected to net mprj_dat_i_user\[23\]. +Warning: ./spef/caravel.spef line 55454, *2821 not connected to net mprj_dat_i_user\[23\]. +Warning: ./spef/caravel.spef line 55466, *2821 not connected to net mprj_dat_i_user\[24\]. +Warning: ./spef/caravel.spef line 55480, *2821 not connected to net mprj_dat_i_user\[24\]. +Warning: ./spef/caravel.spef line 55492, *2821 not connected to net mprj_dat_i_user\[25\]. +Warning: ./spef/caravel.spef line 55505, *2821 not connected to net mprj_dat_i_user\[25\]. +Warning: ./spef/caravel.spef line 55517, *2821 not connected to net mprj_dat_i_user\[26\]. +Warning: ./spef/caravel.spef line 55534, *2821 not connected to net mprj_dat_i_user\[26\]. +Warning: ./spef/caravel.spef line 55546, *2821 not connected to net mprj_dat_i_user\[27\]. +Warning: ./spef/caravel.spef line 55562, *2821 not connected to net mprj_dat_i_user\[27\]. +Warning: ./spef/caravel.spef line 55574, *2821 not connected to net mprj_dat_i_user\[28\]. +Warning: ./spef/caravel.spef line 55594, *2821 not connected to net mprj_dat_i_user\[28\]. +Warning: ./spef/caravel.spef line 55607, *2821 not connected to net mprj_dat_i_user\[29\]. +Warning: ./spef/caravel.spef line 55630, *2821 not connected to net mprj_dat_i_user\[29\]. +Warning: ./spef/caravel.spef line 55645, *2821 not connected to net mprj_dat_i_user\[2\]. +Warning: ./spef/caravel.spef line 55659, *2821 not connected to net mprj_dat_i_user\[2\]. +Warning: ./spef/caravel.spef line 55671, *2821 not connected to net mprj_dat_i_user\[30\]. +Warning: ./spef/caravel.spef line 55684, *2821 not connected to net mprj_dat_i_user\[30\]. +Warning: ./spef/caravel.spef line 55695, *2821 not connected to net mprj_dat_i_user\[31\]. +Warning: ./spef/caravel.spef line 55711, *2821 not connected to net mprj_dat_i_user\[31\]. +Warning: ./spef/caravel.spef line 55723, *2821 not connected to net mprj_dat_i_user\[3\]. +Warning: ./spef/caravel.spef line 55753, *2821 not connected to net mprj_dat_i_user\[3\]. +Warning: ./spef/caravel.spef line 55768, *2821 not connected to net mprj_dat_i_user\[4\]. +Warning: ./spef/caravel.spef line 55781, *2821 not connected to net mprj_dat_i_user\[4\]. +Warning: ./spef/caravel.spef line 55793, *2821 not connected to net mprj_dat_i_user\[5\]. +Warning: ./spef/caravel.spef line 55813, *2821 not connected to net mprj_dat_i_user\[5\]. +Warning: ./spef/caravel.spef line 55825, *2821 not connected to net mprj_dat_i_user\[6\]. +Warning: ./spef/caravel.spef line 55838, *2821 not connected to net mprj_dat_i_user\[6\]. +Warning: ./spef/caravel.spef line 55850, *2821 not connected to net mprj_dat_i_user\[7\]. +Warning: ./spef/caravel.spef line 55878, *2821 not connected to net mprj_dat_i_user\[7\]. +Warning: ./spef/caravel.spef line 55892, *2821 not connected to net mprj_dat_i_user\[8\]. +Warning: ./spef/caravel.spef line 55905, *2821 not connected to net mprj_dat_i_user\[8\]. +Warning: ./spef/caravel.spef line 55916, *2821 not connected to net mprj_dat_i_user\[9\]. +Warning: ./spef/caravel.spef line 55926, *2821 not connected to net mprj_dat_i_user\[9\]. +Warning: ./spef/caravel.spef line 57603, *2821 not connected to net mprj_dat_o_user\[0\]. +Warning: ./spef/caravel.spef line 57622, *2821 not connected to net mprj_dat_o_user\[0\]. +Warning: ./spef/caravel.spef line 57630, *2821 not connected to net mprj_dat_o_user\[10\]. +Warning: ./spef/caravel.spef line 57648, *2821 not connected to net mprj_dat_o_user\[10\]. +Warning: ./spef/caravel.spef line 57656, *2821 not connected to net mprj_dat_o_user\[11\]. +Warning: ./spef/caravel.spef line 57684, *2821 not connected to net mprj_dat_o_user\[11\]. +Warning: ./spef/caravel.spef line 57692, *2821 not connected to net mprj_dat_o_user\[12\]. +Warning: ./spef/caravel.spef line 57714, *2821 not connected to net mprj_dat_o_user\[12\]. +Warning: ./spef/caravel.spef line 57722, *2821 not connected to net mprj_dat_o_user\[13\]. +Warning: ./spef/caravel.spef line 57740, *2821 not connected to net mprj_dat_o_user\[13\]. +Warning: ./spef/caravel.spef line 57748, *2821 not connected to net mprj_dat_o_user\[14\]. +Warning: ./spef/caravel.spef line 57769, *2821 not connected to net mprj_dat_o_user\[14\]. +Warning: ./spef/caravel.spef line 57777, *2821 not connected to net mprj_dat_o_user\[15\]. +Warning: ./spef/caravel.spef line 57795, *2821 not connected to net mprj_dat_o_user\[15\]. +Warning: ./spef/caravel.spef line 57803, *2821 not connected to net mprj_dat_o_user\[16\]. +Warning: ./spef/caravel.spef line 57828, *2821 not connected to net mprj_dat_o_user\[16\]. +Warning: ./spef/caravel.spef line 57836, *2821 not connected to net mprj_dat_o_user\[17\]. +Warning: ./spef/caravel.spef line 57857, *2821 not connected to net mprj_dat_o_user\[17\]. +Warning: ./spef/caravel.spef line 57865, *2821 not connected to net mprj_dat_o_user\[18\]. +Warning: ./spef/caravel.spef line 57882, *2821 not connected to net mprj_dat_o_user\[18\]. +Warning: ./spef/caravel.spef line 57890, *2821 not connected to net mprj_dat_o_user\[19\]. +Warning: ./spef/caravel.spef line 57908, *2821 not connected to net mprj_dat_o_user\[19\]. +Warning: ./spef/caravel.spef line 57916, *2821 not connected to net mprj_dat_o_user\[1\]. +Warning: ./spef/caravel.spef line 57935, *2821 not connected to net mprj_dat_o_user\[1\]. +Warning: ./spef/caravel.spef line 57943, *2821 not connected to net mprj_dat_o_user\[20\]. +Warning: ./spef/caravel.spef line 57960, *2821 not connected to net mprj_dat_o_user\[20\]. +Warning: ./spef/caravel.spef line 57968, *2821 not connected to net mprj_dat_o_user\[21\]. +Warning: ./spef/caravel.spef line 57989, *2821 not connected to net mprj_dat_o_user\[21\]. +Warning: ./spef/caravel.spef line 57997, *2821 not connected to net mprj_dat_o_user\[22\]. +Warning: ./spef/caravel.spef line 58025, *2821 not connected to net mprj_dat_o_user\[22\]. +Warning: ./spef/caravel.spef line 58033, *2821 not connected to net mprj_dat_o_user\[23\]. +Warning: ./spef/caravel.spef line 58051, *2821 not connected to net mprj_dat_o_user\[23\]. +Warning: ./spef/caravel.spef line 58059, *2821 not connected to net mprj_dat_o_user\[24\]. +Warning: ./spef/caravel.spef line 58076, *2821 not connected to net mprj_dat_o_user\[24\]. +Warning: ./spef/caravel.spef line 58084, *2821 not connected to net mprj_dat_o_user\[25\]. +Warning: ./spef/caravel.spef line 58101, *2821 not connected to net mprj_dat_o_user\[25\]. +Warning: ./spef/caravel.spef line 58109, *2821 not connected to net mprj_dat_o_user\[26\]. +Warning: ./spef/caravel.spef line 58129, *2821 not connected to net mprj_dat_o_user\[26\]. +Warning: ./spef/caravel.spef line 58137, *2821 not connected to net mprj_dat_o_user\[27\]. +Warning: ./spef/caravel.spef line 58157, *2821 not connected to net mprj_dat_o_user\[27\]. +Warning: ./spef/caravel.spef line 58165, *2821 not connected to net mprj_dat_o_user\[28\]. +Warning: ./spef/caravel.spef line 58194, *2821 not connected to net mprj_dat_o_user\[28\]. +Warning: ./spef/caravel.spef line 58202, *2821 not connected to net mprj_dat_o_user\[29\]. +Warning: ./spef/caravel.spef line 58222, *2821 not connected to net mprj_dat_o_user\[29\]. +Warning: ./spef/caravel.spef line 58230, *2821 not connected to net mprj_dat_o_user\[2\]. +Warning: ./spef/caravel.spef line 58249, *2821 not connected to net mprj_dat_o_user\[2\]. +Warning: ./spef/caravel.spef line 58257, *2821 not connected to net mprj_dat_o_user\[30\]. +Warning: ./spef/caravel.spef line 58275, *2821 not connected to net mprj_dat_o_user\[30\]. +Warning: ./spef/caravel.spef line 58283, *2821 not connected to net mprj_dat_o_user\[31\]. +Warning: ./spef/caravel.spef line 58304, *2821 not connected to net mprj_dat_o_user\[31\]. +Warning: ./spef/caravel.spef line 58312, *2821 not connected to net mprj_dat_o_user\[3\]. +Warning: ./spef/caravel.spef line 58331, *2821 not connected to net mprj_dat_o_user\[3\]. +Warning: ./spef/caravel.spef line 58339, *2821 not connected to net mprj_dat_o_user\[4\]. +Warning: ./spef/caravel.spef line 58376, *2821 not connected to net mprj_dat_o_user\[4\]. +Warning: ./spef/caravel.spef line 58384, *2821 not connected to net mprj_dat_o_user\[5\]. +Warning: ./spef/caravel.spef line 58404, *2821 not connected to net mprj_dat_o_user\[5\]. +Warning: ./spef/caravel.spef line 58412, *2821 not connected to net mprj_dat_o_user\[6\]. +Warning: ./spef/caravel.spef line 58433, *2821 not connected to net mprj_dat_o_user\[6\]. +Warning: ./spef/caravel.spef line 58441, *2821 not connected to net mprj_dat_o_user\[7\]. +Warning: ./spef/caravel.spef line 58460, *2821 not connected to net mprj_dat_o_user\[7\]. +Warning: ./spef/caravel.spef line 58468, *2821 not connected to net mprj_dat_o_user\[8\]. +Warning: ./spef/caravel.spef line 58488, *2821 not connected to net mprj_dat_o_user\[8\]. +Warning: ./spef/caravel.spef line 58496, *2821 not connected to net mprj_dat_o_user\[9\]. +Warning: ./spef/caravel.spef line 58513, *2821 not connected to net mprj_dat_o_user\[9\]. +Warning: ./spef/caravel.spef line 74291, *2821 not connected to net mprj_sel_o_user\[0\]. +Warning: ./spef/caravel.spef line 74307, *2821 not connected to net mprj_sel_o_user\[0\]. +Warning: ./spef/caravel.spef line 74315, *2821 not connected to net mprj_sel_o_user\[1\]. +Warning: ./spef/caravel.spef line 74333, *2821 not connected to net mprj_sel_o_user\[1\]. +Warning: ./spef/caravel.spef line 74341, *2821 not connected to net mprj_sel_o_user\[2\]. +Warning: ./spef/caravel.spef line 74360, *2821 not connected to net mprj_sel_o_user\[2\]. +Warning: ./spef/caravel.spef line 74368, *2821 not connected to net mprj_sel_o_user\[3\]. +Warning: ./spef/caravel.spef line 74387, *2821 not connected to net mprj_sel_o_user\[3\]. +Warning: ./spef/caravel.spef line 75154, *2823 not connected to net rstb_h. +Warning: ./spef/caravel.spef line 75155, *2742 not connected to net rstb_h. +Warning: ./spef/caravel.spef line 75179, *2742 not connected to net rstb_h. +Warning: ./spef/caravel.spef line 75184, *2823 not connected to net rstb_h. +Warning: ./spef/caravel.spef line 76410, *2821 not connected to net user_analog_io\[0\]. +Warning: ./spef/caravel.spef line 76427, *2821 not connected to net user_analog_io\[0\]. +Warning: ./spef/caravel.spef line 76435, *2821 not connected to net user_analog_io\[10\]. +Warning: ./spef/caravel.spef line 76451, *2821 not connected to net user_analog_io\[10\]. +Warning: ./spef/caravel.spef line 76459, *2821 not connected to net user_analog_io\[11\]. +Warning: ./spef/caravel.spef line 76488, *2821 not connected to net user_analog_io\[11\]. +Warning: ./spef/caravel.spef line 76496, *2821 not connected to net user_analog_io\[12\]. +Warning: ./spef/caravel.spef line 76517, *2821 not connected to net user_analog_io\[12\]. +Warning: ./spef/caravel.spef line 76525, *2821 not connected to net user_analog_io\[13\]. +Warning: ./spef/caravel.spef line 76547, *2821 not connected to net user_analog_io\[13\]. +Warning: ./spef/caravel.spef line 76573, *2821 not connected to net user_analog_io\[15\]. +Warning: ./spef/caravel.spef line 76583, *2821 not connected to net user_analog_io\[15\]. +Warning: ./spef/caravel.spef line 76591, *2821 not connected to net user_analog_io\[16\]. +Warning: ./spef/caravel.spef line 76622, *2821 not connected to net user_analog_io\[16\]. +Warning: ./spef/caravel.spef line 76630, *2821 not connected to net user_analog_io\[17\]. +Warning: ./spef/caravel.spef line 76641, *2821 not connected to net user_analog_io\[17\]. +Warning: ./spef/caravel.spef line 76649, *2821 not connected to net user_analog_io\[18\]. +Warning: ./spef/caravel.spef line 76667, *2821 not connected to net user_analog_io\[18\]. +Warning: ./spef/caravel.spef line 76675, *2821 not connected to net user_analog_io\[19\]. +Warning: ./spef/caravel.spef line 76695, *2821 not connected to net user_analog_io\[19\]. +Warning: ./spef/caravel.spef line 76703, *2821 not connected to net user_analog_io\[1\]. +Warning: ./spef/caravel.spef line 76726, *2821 not connected to net user_analog_io\[1\]. +Warning: ./spef/caravel.spef line 76734, *2821 not connected to net user_analog_io\[20\]. +Warning: ./spef/caravel.spef line 76762, *2821 not connected to net user_analog_io\[20\]. +Warning: ./spef/caravel.spef line 76770, *2821 not connected to net user_analog_io\[21\]. +Warning: ./spef/caravel.spef line 76792, *2821 not connected to net user_analog_io\[21\]. +Warning: ./spef/caravel.spef line 76800, *2821 not connected to net user_analog_io\[22\]. +Warning: ./spef/caravel.spef line 76821, *2821 not connected to net user_analog_io\[22\]. +Warning: ./spef/caravel.spef line 76829, *2821 not connected to net user_analog_io\[23\]. +Warning: ./spef/caravel.spef line 76849, *2821 not connected to net user_analog_io\[23\]. +Warning: ./spef/caravel.spef line 76857, *2821 not connected to net user_analog_io\[24\]. +Warning: ./spef/caravel.spef line 76873, *2821 not connected to net user_analog_io\[24\]. +Warning: ./spef/caravel.spef line 76881, *2821 not connected to net user_analog_io\[25\]. +Warning: ./spef/caravel.spef line 76899, *2821 not connected to net user_analog_io\[25\]. +Warning: ./spef/caravel.spef line 76907, *2821 not connected to net user_analog_io\[26\]. +Warning: ./spef/caravel.spef line 76924, *2821 not connected to net user_analog_io\[26\]. +Warning: ./spef/caravel.spef line 76932, *2821 not connected to net user_analog_io\[27\]. +Warning: ./spef/caravel.spef line 76956, *2821 not connected to net user_analog_io\[27\]. +Warning: ./spef/caravel.spef line 76964, *2821 not connected to net user_analog_io\[28\]. +Warning: ./spef/caravel.spef line 76983, *2821 not connected to net user_analog_io\[28\]. +Warning: ./spef/caravel.spef line 76991, *2821 not connected to net user_analog_io\[2\]. +Warning: ./spef/caravel.spef line 77013, *2821 not connected to net user_analog_io\[2\]. +Warning: ./spef/caravel.spef line 77021, *2821 not connected to net user_analog_io\[3\]. +Warning: ./spef/caravel.spef line 77040, *2821 not connected to net user_analog_io\[3\]. +Warning: ./spef/caravel.spef line 77048, *2821 not connected to net user_analog_io\[4\]. +Warning: ./spef/caravel.spef line 77075, *2821 not connected to net user_analog_io\[4\]. +Warning: ./spef/caravel.spef line 77083, *2821 not connected to net user_analog_io\[5\]. +Warning: ./spef/caravel.spef line 77108, *2821 not connected to net user_analog_io\[5\]. +Warning: ./spef/caravel.spef line 77116, *2821 not connected to net user_analog_io\[6\]. +Warning: ./spef/caravel.spef line 77134, *2821 not connected to net user_analog_io\[6\]. +Warning: ./spef/caravel.spef line 77142, *2821 not connected to net user_analog_io\[7\]. +Warning: ./spef/caravel.spef line 77165, *2821 not connected to net user_analog_io\[7\]. +Warning: ./spef/caravel.spef line 77173, *2821 not connected to net user_analog_io\[8\]. +Warning: ./spef/caravel.spef line 77182, *2821 not connected to net user_analog_io\[8\]. +Warning: ./spef/caravel.spef line 77190, *2821 not connected to net user_analog_io\[9\]. +Warning: ./spef/caravel.spef line 77211, *2821 not connected to net user_analog_io\[9\]. +Warning: ./spef/caravel.spef line 77219, *2821 not connected to net user_io_in\[0\]. +Warning: ./spef/caravel.spef line 77241, *2821 not connected to net user_io_in\[0\]. +Warning: ./spef/caravel.spef line 77249, *2821 not connected to net user_io_in\[10\]. +Warning: ./spef/caravel.spef line 77275, *2821 not connected to net user_io_in\[10\]. +Warning: ./spef/caravel.spef line 77283, *2821 not connected to net user_io_in\[11\]. +Warning: ./spef/caravel.spef line 77308, *2821 not connected to net user_io_in\[11\]. +Warning: ./spef/caravel.spef line 77316, *2821 not connected to net user_io_in\[12\]. +Warning: ./spef/caravel.spef line 77338, *2821 not connected to net user_io_in\[12\]. +Warning: ./spef/caravel.spef line 77346, *2821 not connected to net user_io_in\[13\]. +Warning: ./spef/caravel.spef line 77367, *2821 not connected to net user_io_in\[13\]. +Warning: ./spef/caravel.spef line 77375, *2821 not connected to net user_io_in\[14\]. +Warning: ./spef/caravel.spef line 77392, *2821 not connected to net user_io_in\[14\]. +Warning: ./spef/caravel.spef line 77400, *2821 not connected to net user_io_in\[15\]. +Warning: ./spef/caravel.spef line 77420, *2821 not connected to net user_io_in\[15\]. +Warning: ./spef/caravel.spef line 77428, *2821 not connected to net user_io_in\[16\]. +Warning: ./spef/caravel.spef line 77449, *2821 not connected to net user_io_in\[16\]. +Warning: ./spef/caravel.spef line 77457, *2821 not connected to net user_io_in\[17\]. +Warning: ./spef/caravel.spef line 77479, *2821 not connected to net user_io_in\[17\]. +Warning: ./spef/caravel.spef line 77487, *2821 not connected to net user_io_in\[18\]. +Warning: ./spef/caravel.spef line 77509, *2821 not connected to net user_io_in\[18\]. +Warning: ./spef/caravel.spef line 77517, *2821 not connected to net user_io_in\[19\]. +Warning: ./spef/caravel.spef line 77536, *2821 not connected to net user_io_in\[19\]. +Warning: ./spef/caravel.spef line 77544, *2821 not connected to net user_io_in\[1\]. +Warning: ./spef/caravel.spef line 77564, *2821 not connected to net user_io_in\[1\]. +Warning: ./spef/caravel.spef line 77572, *2821 not connected to net user_io_in\[20\]. +Warning: ./spef/caravel.spef line 77593, *2821 not connected to net user_io_in\[20\]. +Warning: ./spef/caravel.spef line 77601, *2821 not connected to net user_io_in\[21\]. +Warning: ./spef/caravel.spef line 77617, *2821 not connected to net user_io_in\[21\]. +Warning: ./spef/caravel.spef line 77625, *2821 not connected to net user_io_in\[22\]. +Warning: ./spef/caravel.spef line 77635, *2821 not connected to net user_io_in\[22\]. +Warning: ./spef/caravel.spef line 77643, *2821 not connected to net user_io_in\[23\]. +Warning: ./spef/caravel.spef line 77659, *2821 not connected to net user_io_in\[23\]. +Warning: ./spef/caravel.spef line 77667, *2821 not connected to net user_io_in\[24\]. +Warning: ./spef/caravel.spef line 77682, *2821 not connected to net user_io_in\[24\]. +Warning: ./spef/caravel.spef line 77690, *2821 not connected to net user_io_in\[25\]. +Warning: ./spef/caravel.spef line 77709, *2821 not connected to net user_io_in\[25\]. +Warning: ./spef/caravel.spef line 77717, *2821 not connected to net user_io_in\[26\]. +Warning: ./spef/caravel.spef line 77738, *2821 not connected to net user_io_in\[26\]. +Warning: ./spef/caravel.spef line 77746, *2821 not connected to net user_io_in\[27\]. +Warning: ./spef/caravel.spef line 77762, *2821 not connected to net user_io_in\[27\]. +Warning: ./spef/caravel.spef line 77770, *2821 not connected to net user_io_in\[28\]. +Warning: ./spef/caravel.spef line 77788, *2821 not connected to net user_io_in\[28\]. +Warning: ./spef/caravel.spef line 77796, *2821 not connected to net user_io_in\[29\]. +Warning: ./spef/caravel.spef line 77817, *2821 not connected to net user_io_in\[29\]. +Warning: ./spef/caravel.spef line 77825, *2821 not connected to net user_io_in\[2\]. +Warning: ./spef/caravel.spef line 77847, *2821 not connected to net user_io_in\[2\]. +Warning: ./spef/caravel.spef line 77855, *2821 not connected to net user_io_in\[30\]. +Warning: ./spef/caravel.spef line 77870, *2821 not connected to net user_io_in\[30\]. +Warning: ./spef/caravel.spef line 77878, *2821 not connected to net user_io_in\[31\]. +Warning: ./spef/caravel.spef line 77895, *2821 not connected to net user_io_in\[31\]. +Warning: ./spef/caravel.spef line 77903, *2821 not connected to net user_io_in\[32\]. +Warning: ./spef/caravel.spef line 77920, *2821 not connected to net user_io_in\[32\]. +Warning: ./spef/caravel.spef line 77928, *2821 not connected to net user_io_in\[33\]. +Warning: ./spef/caravel.spef line 77946, *2821 not connected to net user_io_in\[33\]. +Warning: ./spef/caravel.spef line 77954, *2821 not connected to net user_io_in\[34\]. +Warning: ./spef/caravel.spef line 77976, *2821 not connected to net user_io_in\[34\]. +Warning: ./spef/caravel.spef line 77984, *2821 not connected to net user_io_in\[35\]. +Warning: ./spef/caravel.spef line 78004, *2821 not connected to net user_io_in\[35\]. +Warning: ./spef/caravel.spef line 78012, *2821 not connected to net user_io_in\[36\]. +Warning: ./spef/caravel.spef line 78031, *2821 not connected to net user_io_in\[36\]. +Warning: ./spef/caravel.spef line 78039, *2821 not connected to net user_io_in\[37\]. +Warning: ./spef/caravel.spef line 78057, *2821 not connected to net user_io_in\[37\]. +Warning: ./spef/caravel.spef line 78065, *2821 not connected to net user_io_in\[3\]. +Warning: ./spef/caravel.spef line 78086, *2821 not connected to net user_io_in\[3\]. +Warning: ./spef/caravel.spef line 78094, *2821 not connected to net user_io_in\[4\]. +Warning: ./spef/caravel.spef line 78115, *2821 not connected to net user_io_in\[4\]. +Warning: ./spef/caravel.spef line 78123, *2821 not connected to net user_io_in\[5\]. +Warning: ./spef/caravel.spef line 78143, *2821 not connected to net user_io_in\[5\]. +Warning: ./spef/caravel.spef line 78151, *2821 not connected to net user_io_in\[6\]. +Warning: ./spef/caravel.spef line 78173, *2821 not connected to net user_io_in\[6\]. +Warning: ./spef/caravel.spef line 78181, *2821 not connected to net user_io_in\[7\]. +Warning: ./spef/caravel.spef line 78204, *2821 not connected to net user_io_in\[7\]. +Warning: ./spef/caravel.spef line 78212, *2821 not connected to net user_io_in\[8\]. +Warning: ./spef/caravel.spef line 78237, *2821 not connected to net user_io_in\[8\]. +Warning: ./spef/caravel.spef line 78245, *2821 not connected to net user_io_in\[9\]. +Warning: ./spef/caravel.spef line 78269, *2821 not connected to net user_io_in\[9\]. +Warning: ./spef/caravel.spef line 78278, *2821 not connected to net user_io_oeb\[0\]. +Warning: ./spef/caravel.spef line 78294, *2821 not connected to net user_io_oeb\[0\]. +Warning: ./spef/caravel.spef line 78308, *2821 not connected to net user_io_oeb\[10\]. +Warning: ./spef/caravel.spef line 78330, *2821 not connected to net user_io_oeb\[10\]. +Warning: ./spef/caravel.spef line 78344, *2821 not connected to net user_io_oeb\[11\]. +Warning: ./spef/caravel.spef line 78356, *2821 not connected to net user_io_oeb\[11\]. +Warning: ./spef/caravel.spef line 78370, *2821 not connected to net user_io_oeb\[12\]. +Warning: ./spef/caravel.spef line 78382, *2821 not connected to net user_io_oeb\[12\]. +Warning: ./spef/caravel.spef line 78396, *2821 not connected to net user_io_oeb\[13\]. +Warning: ./spef/caravel.spef line 78412, *2821 not connected to net user_io_oeb\[13\]. +Warning: ./spef/caravel.spef line 78426, *2821 not connected to net user_io_oeb\[14\]. +Warning: ./spef/caravel.spef line 78440, *2821 not connected to net user_io_oeb\[14\]. +Warning: ./spef/caravel.spef line 78453, *2821 not connected to net user_io_oeb\[15\]. +Warning: ./spef/caravel.spef line 78468, *2821 not connected to net user_io_oeb\[15\]. +Warning: ./spef/caravel.spef line 78482, *2821 not connected to net user_io_oeb\[16\]. +Warning: ./spef/caravel.spef line 78495, *2821 not connected to net user_io_oeb\[16\]. +Warning: ./spef/caravel.spef line 78508, *2821 not connected to net user_io_oeb\[17\]. +Warning: ./spef/caravel.spef line 78524, *2821 not connected to net user_io_oeb\[17\]. +Warning: ./spef/caravel.spef line 78537, *2821 not connected to net user_io_oeb\[18\]. +Warning: ./spef/caravel.spef line 78550, *2821 not connected to net user_io_oeb\[18\]. +Warning: ./spef/caravel.spef line 78563, *2821 not connected to net user_io_oeb\[19\]. +Warning: ./spef/caravel.spef line 78570, *2821 not connected to net user_io_oeb\[19\]. +Warning: ./spef/caravel.spef line 78584, *2821 not connected to net user_io_oeb\[1\]. +Warning: ./spef/caravel.spef line 78603, *2821 not connected to net user_io_oeb\[1\]. +Warning: ./spef/caravel.spef line 78617, *2821 not connected to net user_io_oeb\[20\]. +Warning: ./spef/caravel.spef line 78628, *2821 not connected to net user_io_oeb\[20\]. +Warning: ./spef/caravel.spef line 78639, *2821 not connected to net user_io_oeb\[21\]. +Warning: ./spef/caravel.spef line 78652, *2821 not connected to net user_io_oeb\[21\]. +Warning: ./spef/caravel.spef line 78665, *2821 not connected to net user_io_oeb\[22\]. +Warning: ./spef/caravel.spef line 78679, *2821 not connected to net user_io_oeb\[22\]. +Warning: ./spef/caravel.spef line 78693, *2821 not connected to net user_io_oeb\[23\]. +Warning: ./spef/caravel.spef line 78713, *2821 not connected to net user_io_oeb\[23\]. +Warning: ./spef/caravel.spef line 78728, *2821 not connected to net user_io_oeb\[24\]. +Warning: ./spef/caravel.spef line 78737, *2821 not connected to net user_io_oeb\[24\]. +Warning: ./spef/caravel.spef line 78748, *2821 not connected to net user_io_oeb\[25\]. +Warning: ./spef/caravel.spef line 78764, *2821 not connected to net user_io_oeb\[25\]. +Warning: ./spef/caravel.spef line 78778, *2821 not connected to net user_io_oeb\[26\]. +Warning: ./spef/caravel.spef line 78792, *2821 not connected to net user_io_oeb\[26\]. +Warning: ./spef/caravel.spef line 78806, *2821 not connected to net user_io_oeb\[27\]. +Warning: ./spef/caravel.spef line 78814, *2821 not connected to net user_io_oeb\[27\]. +Warning: ./spef/caravel.spef line 78825, *2821 not connected to net user_io_oeb\[28\]. +Warning: ./spef/caravel.spef line 78841, *2821 not connected to net user_io_oeb\[28\]. +Warning: ./spef/caravel.spef line 78855, *2821 not connected to net user_io_oeb\[29\]. +Warning: ./spef/caravel.spef line 78870, *2821 not connected to net user_io_oeb\[29\]. +Warning: ./spef/caravel.spef line 78884, *2821 not connected to net user_io_oeb\[2\]. +Warning: ./spef/caravel.spef line 78902, *2821 not connected to net user_io_oeb\[2\]. +Warning: ./spef/caravel.spef line 78917, *2821 not connected to net user_io_oeb\[30\]. +Warning: ./spef/caravel.spef line 78930, *2821 not connected to net user_io_oeb\[30\]. +Warning: ./spef/caravel.spef line 78941, *2821 not connected to net user_io_oeb\[31\]. +Warning: ./spef/caravel.spef line 78947, *2821 not connected to net user_io_oeb\[31\]. +Warning: ./spef/caravel.spef line 78960, *2821 not connected to net user_io_oeb\[32\]. +Warning: ./spef/caravel.spef line 78972, *2821 not connected to net user_io_oeb\[32\]. +Warning: ./spef/caravel.spef line 78983, *2821 not connected to net user_io_oeb\[33\]. +Warning: ./spef/caravel.spef line 78996, *2821 not connected to net user_io_oeb\[33\]. +Warning: ./spef/caravel.spef line 79010, *2821 not connected to net user_io_oeb\[34\]. +Warning: ./spef/caravel.spef line 79021, *2821 not connected to net user_io_oeb\[34\]. +Warning: ./spef/caravel.spef line 79034, *2821 not connected to net user_io_oeb\[35\]. +Warning: ./spef/caravel.spef line 79049, *2821 not connected to net user_io_oeb\[35\]. +Warning: ./spef/caravel.spef line 79063, *2821 not connected to net user_io_oeb\[36\]. +Warning: ./spef/caravel.spef line 79074, *2821 not connected to net user_io_oeb\[36\]. +Warning: ./spef/caravel.spef line 79087, *2821 not connected to net user_io_oeb\[37\]. +Warning: ./spef/caravel.spef line 79099, *2821 not connected to net user_io_oeb\[37\]. +Warning: ./spef/caravel.spef line 79112, *2821 not connected to net user_io_oeb\[3\]. +Warning: ./spef/caravel.spef line 79128, *2821 not connected to net user_io_oeb\[3\]. +Warning: ./spef/caravel.spef line 79143, *2821 not connected to net user_io_oeb\[4\]. +Warning: ./spef/caravel.spef line 79161, *2821 not connected to net user_io_oeb\[4\]. +Warning: ./spef/caravel.spef line 79175, *2821 not connected to net user_io_oeb\[5\]. +Warning: ./spef/caravel.spef line 79192, *2821 not connected to net user_io_oeb\[5\]. +Warning: ./spef/caravel.spef line 79206, *2821 not connected to net user_io_oeb\[6\]. +Warning: ./spef/caravel.spef line 79225, *2821 not connected to net user_io_oeb\[6\]. +Warning: ./spef/caravel.spef line 79240, *2821 not connected to net user_io_oeb\[7\]. +Warning: ./spef/caravel.spef line 79257, *2821 not connected to net user_io_oeb\[7\]. +Warning: ./spef/caravel.spef line 79271, *2821 not connected to net user_io_oeb\[8\]. +Warning: ./spef/caravel.spef line 79284, *2821 not connected to net user_io_oeb\[8\]. +Warning: ./spef/caravel.spef line 79297, *2821 not connected to net user_io_oeb\[9\]. +Warning: ./spef/caravel.spef line 79313, *2821 not connected to net user_io_oeb\[9\]. +Warning: ./spef/caravel.spef line 79328, *2821 not connected to net user_io_out\[0\]. +Warning: ./spef/caravel.spef line 79346, *2821 not connected to net user_io_out\[0\]. +Warning: ./spef/caravel.spef line 79360, *2821 not connected to net user_io_out\[10\]. +Warning: ./spef/caravel.spef line 79379, *2821 not connected to net user_io_out\[10\]. +Warning: ./spef/caravel.spef line 79393, *2821 not connected to net user_io_out\[11\]. +Warning: ./spef/caravel.spef line 79405, *2821 not connected to net user_io_out\[11\]. +Warning: ./spef/caravel.spef line 79420, *2821 not connected to net user_io_out\[12\]. +Warning: ./spef/caravel.spef line 79437, *2821 not connected to net user_io_out\[12\]. +Warning: ./spef/caravel.spef line 79451, *2821 not connected to net user_io_out\[13\]. +Warning: ./spef/caravel.spef line 79467, *2821 not connected to net user_io_out\[13\]. +Warning: ./spef/caravel.spef line 79482, *2821 not connected to net user_io_out\[14\]. +Warning: ./spef/caravel.spef line 79496, *2821 not connected to net user_io_out\[14\]. +Warning: ./spef/caravel.spef line 79509, *2821 not connected to net user_io_out\[15\]. +Warning: ./spef/caravel.spef line 79521, *2821 not connected to net user_io_out\[15\]. +Warning: ./spef/caravel.spef line 79534, *2821 not connected to net user_io_out\[16\]. +Warning: ./spef/caravel.spef line 79547, *2821 not connected to net user_io_out\[16\]. +Warning: ./spef/caravel.spef line 79560, *2821 not connected to net user_io_out\[17\]. +Warning: ./spef/caravel.spef line 79572, *2821 not connected to net user_io_out\[17\]. +Warning: ./spef/caravel.spef line 79585, *2821 not connected to net user_io_out\[18\]. +Warning: ./spef/caravel.spef line 79601, *2821 not connected to net user_io_out\[18\]. +Warning: ./spef/caravel.spef line 79614, *2821 not connected to net user_io_out\[19\]. +Warning: ./spef/caravel.spef line 79622, *2821 not connected to net user_io_out\[19\]. +Warning: ./spef/caravel.spef line 79634, *2821 not connected to net user_io_out\[1\]. +Warning: ./spef/caravel.spef line 79658, *2821 not connected to net user_io_out\[1\]. +Warning: ./spef/caravel.spef line 79673, *2821 not connected to net user_io_out\[20\]. +Warning: ./spef/caravel.spef line 79686, *2821 not connected to net user_io_out\[20\]. +Warning: ./spef/caravel.spef line 79699, *2821 not connected to net user_io_out\[21\]. +Warning: ./spef/caravel.spef line 79706, *2821 not connected to net user_io_out\[21\]. +Warning: ./spef/caravel.spef line 79717, *2821 not connected to net user_io_out\[22\]. +Warning: ./spef/caravel.spef line 79726, *2821 not connected to net user_io_out\[22\]. +Warning: ./spef/caravel.spef line 79737, *2821 not connected to net user_io_out\[23\]. +Warning: ./spef/caravel.spef line 79751, *2821 not connected to net user_io_out\[23\]. +Warning: ./spef/caravel.spef line 79765, *2821 not connected to net user_io_out\[24\]. +Warning: ./spef/caravel.spef line 79774, *2821 not connected to net user_io_out\[24\]. +Warning: ./spef/caravel.spef line 79785, *2821 not connected to net user_io_out\[25\]. +Warning: ./spef/caravel.spef line 79799, *2821 not connected to net user_io_out\[25\]. +Warning: ./spef/caravel.spef line 79812, *2821 not connected to net user_io_out\[26\]. +Warning: ./spef/caravel.spef line 79823, *2821 not connected to net user_io_out\[26\]. +Warning: ./spef/caravel.spef line 79837, *2821 not connected to net user_io_out\[27\]. +Warning: ./spef/caravel.spef line 79853, *2821 not connected to net user_io_out\[27\]. +Warning: ./spef/caravel.spef line 79864, *2821 not connected to net user_io_out\[28\]. +Warning: ./spef/caravel.spef line 79876, *2821 not connected to net user_io_out\[28\]. +Warning: ./spef/caravel.spef line 79890, *2821 not connected to net user_io_out\[29\]. +Warning: ./spef/caravel.spef line 79902, *2821 not connected to net user_io_out\[29\]. +Warning: ./spef/caravel.spef line 79916, *2821 not connected to net user_io_out\[2\]. +Warning: ./spef/caravel.spef line 79933, *2821 not connected to net user_io_out\[2\]. +Warning: ./spef/caravel.spef line 79947, *2821 not connected to net user_io_out\[30\]. +Warning: ./spef/caravel.spef line 79960, *2821 not connected to net user_io_out\[30\]. +Warning: ./spef/caravel.spef line 79974, *2821 not connected to net user_io_out\[31\]. +Warning: ./spef/caravel.spef line 79984, *2821 not connected to net user_io_out\[31\]. +Warning: ./spef/caravel.spef line 79998, *2821 not connected to net user_io_out\[32\]. +Warning: ./spef/caravel.spef line 80015, *2821 not connected to net user_io_out\[32\]. +Warning: ./spef/caravel.spef line 80028, *2821 not connected to net user_io_out\[33\]. +Warning: ./spef/caravel.spef line 80040, *2821 not connected to net user_io_out\[33\]. +Warning: ./spef/caravel.spef line 80054, *2821 not connected to net user_io_out\[34\]. +Warning: ./spef/caravel.spef line 80072, *2821 not connected to net user_io_out\[34\]. +Warning: ./spef/caravel.spef line 80085, *2821 not connected to net user_io_out\[35\]. +Warning: ./spef/caravel.spef line 80098, *2821 not connected to net user_io_out\[35\]. +Warning: ./spef/caravel.spef line 80112, *2821 not connected to net user_io_out\[36\]. +Warning: ./spef/caravel.spef line 80123, *2821 not connected to net user_io_out\[36\]. +Warning: ./spef/caravel.spef line 80137, *2821 not connected to net user_io_out\[37\]. +Warning: ./spef/caravel.spef line 80156, *2821 not connected to net user_io_out\[37\]. +Warning: ./spef/caravel.spef line 80169, *2821 not connected to net user_io_out\[3\]. +Warning: ./spef/caravel.spef line 80186, *2821 not connected to net user_io_out\[3\]. +Warning: ./spef/caravel.spef line 80200, *2821 not connected to net user_io_out\[4\]. +Warning: ./spef/caravel.spef line 80212, *2821 not connected to net user_io_out\[4\]. +Warning: ./spef/caravel.spef line 80227, *2821 not connected to net user_io_out\[5\]. +Warning: ./spef/caravel.spef line 80244, *2821 not connected to net user_io_out\[5\]. +Warning: ./spef/caravel.spef line 80258, *2821 not connected to net user_io_out\[6\]. +Warning: ./spef/caravel.spef line 80272, *2821 not connected to net user_io_out\[6\]. +Warning: ./spef/caravel.spef line 80287, *2821 not connected to net user_io_out\[7\]. +Warning: ./spef/caravel.spef line 80301, *2821 not connected to net user_io_out\[7\]. +Warning: ./spef/caravel.spef line 80315, *2821 not connected to net user_io_out\[8\]. +Warning: ./spef/caravel.spef line 80333, *2821 not connected to net user_io_out\[8\]. +Warning: ./spef/caravel.spef line 80348, *2821 not connected to net user_io_out\[9\]. +Warning: ./spef/caravel.spef line 80365, *2821 not connected to net user_io_out\[9\]. +Warning: ./spef/caravel.spef line 80460, *2821 not connected to net user_irq_core\[0\]. +Warning: ./spef/caravel.spef line 80473, *2821 not connected to net user_irq_core\[0\]. +Warning: ./spef/caravel.spef line 80507, *2821 not connected to net user_irq_core\[2\]. +Warning: ./spef/caravel.spef line 80520, *2821 not connected to net user_irq_core\[2\]. +set ::env(IO_PCT) "0.2" +DFFRAM_0coresocpadframerstb_levelpllhousekeepingpowergood_checkmprj_logic_high_instmprj2_logic_high_instmgmt_buffersgpio_control_bidir_1[0]gpio_control_bidir_1[1]gpio_control_bidir_2[1]gpio_control_bidir_2[2]gpio_control_in_1[0]gpio_control_in_1[10]gpio_control_in_1[1]gpio_control_in_1[2]gpio_control_in_1[3]gpio_control_in_1[4]gpio_control_in_1[5]gpio_control_in_1[6]gpio_control_in_1[7]gpio_control_in_1[8]gpio_control_in_1[9]gpio_control_in_1a[0]gpio_control_in_1a[1]gpio_control_in_1a[2]gpio_control_in_1a[3]gpio_control_in_1a[4]gpio_control_in_1a[5]gpio_control_in_2[0]gpio_control_in_2[10]gpio_control_in_2[11]gpio_control_in_2[12]gpio_control_in_2[13]gpio_control_in_2[14]gpio_control_in_2[15]gpio_control_in_2[1]gpio_control_in_2[2]gpio_control_in_2[3]gpio_control_in_2[4]gpio_control_in_2[5]gpio_control_in_2[6]gpio_control_in_2[7]gpio_control_in_2[8]gpio_control_in_2[9]gpio_defaults_block_0gpio_defaults_block_1gpio_defaults_block_2gpio_defaults_block_3gpio_defaults_block_4gpio_defaults_block_5gpio_defaults_block_6gpio_defaults_block_7gpio_defaults_block_8gpio_defaults_block_9gpio_defaults_block_10gpio_defaults_block_11gpio_defaults_block_12gpio_defaults_block_13gpio_defaults_block_14gpio_defaults_block_15gpio_defaults_block_16gpio_defaults_block_17gpio_defaults_block_18gpio_defaults_block_19gpio_defaults_block_20gpio_defaults_block_21gpio_defaults_block_22gpio_defaults_block_23gpio_defaults_block_24gpio_defaults_block_25gpio_defaults_block_26gpio_defaults_block_27gpio_defaults_block_28gpio_defaults_block_29gpio_defaults_block_30gpio_defaults_block_31gpio_defaults_block_32gpio_defaults_block_33gpio_defaults_block_34gpio_defaults_block_35gpio_defaults_block_36gpio_defaults_block_37set ::env(SYNTH_MAX_FANOUT) "5" +set ::env(SYNTH_CAP_LOAD) "33" +set ::env(SYNTH_TIMING_DERATE) 0.05 +set ::env(SYNTH_CLOCK_UNCERTAINITY) 0.25 +set ::env(SYNTH_CLOCK_TRANSITION) 0.15 +## MASTER CLOCKS +create_clock [get_ports {"clock"} ] -name "clock" -period 25 +set_propagated_clock [get_clocks {"clock"}] +## INPUT/OUTPUT DELAYS +set input_delay_value 1 +set output_delay_value [expr 25 * $::env(IO_PCT)] +puts "\[INFO\]: Setting output delay to: $output_delay_value" +[INFO]: Setting output delay to: 5.0 +puts "\[INFO\]: Setting input delay to: $input_delay_value" +[INFO]: Setting input delay to: 1 +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {gpio}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[0]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[1]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[2]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[3]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[4]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[5]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[6]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[7]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[8]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[9]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[10]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[11]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[12]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[13]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[14]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[15]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[16]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[17]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[18]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[19]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[20]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[21]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[22]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[23]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[24]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[25]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[26]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[27]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[28]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[29]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[30]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[31]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[32]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[33]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[34]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[35]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[36]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[37]}] +set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_csb}] +set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_clk}] +set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_io0}] +set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_io1}] +set_max_fanout $::env(SYNTH_MAX_FANOUT) [current_design] +## Set system monitoring mux select to zero so that the clock/user_clk monitoring is disabled +set_case_analysis 0 [get_pins housekeeping/_4449_/S] +set_case_analysis 0 [get_pins housekeeping/_4450_/S] +## FALSE PATHS (ASYNCHRONOUS INPUTS) +set_false_path -from [get_ports {resetb}] +set_false_path -from [get_ports mprj_io[*]] +set_false_path -from [get_ports gpio] +# TODO set this as parameter +set cap_load [expr $::env(SYNTH_CAP_LOAD) / 1000.0] +puts "\[INFO\]: Setting load to: $cap_load" +[INFO]: Setting load to: 0.033 +set_load $cap_load [all_outputs] +puts "\[INFO\]: Setting timing derate to: [expr {$::env(SYNTH_TIMING_DERATE) * 10}] %" +[INFO]: Setting timing derate to: 0.5 % +set_timing_derate -early [expr {1-$::env(SYNTH_TIMING_DERATE)}] +set_timing_derate -late [expr {1+$::env(SYNTH_TIMING_DERATE)}] +puts "\[INFO\]: Setting clock uncertainity to: $::env(SYNTH_CLOCK_UNCERTAINITY)" +[INFO]: Setting clock uncertainity to: 0.25 +set_clock_uncertainty $::env(SYNTH_CLOCK_UNCERTAINITY) [get_clocks {clock}] +puts "\[INFO\]: Setting clock transition to: $::env(SYNTH_CLOCK_TRANSITION)" +[INFO]: Setting clock transition to: 0.15 +set_clock_transition $::env(SYNTH_CLOCK_TRANSITION) [get_clocks {clock}] +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9640_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.73 14.23 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.74 14.97 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 14.97 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.10 15.06 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.06 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 15.12 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.12 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.13 15.26 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.26 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.22 15.48 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.49 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.54 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.54 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.20 15.74 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 15.75 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.04 15.79 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 15.79 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.09 15.89 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 15.89 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.11 16.00 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.33 0.13 16.13 ^ housekeeping/_9640_/RESET_B (sky130_fd_sc_hd__dfrtp_2) + 16.13 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 3.68 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.69 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.08 3.76 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 3.76 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.14 3.91 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 3.91 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.14 4.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.10 0.00 4.05 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.39 0.34 4.40 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.39 0.01 4.40 ^ housekeeping/_9640_/CLK (sky130_fd_sc_hd__dfrtp_2) + 0.25 4.65 clock uncertainty + -0.43 4.22 clock reconvergence pessimism + 0.32 4.55 library removal time + 4.55 data required time +----------------------------------------------------------------------------- + 4.55 data required time + -16.13 data arrival time +----------------------------------------------------------------------------- + 11.59 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9759_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.73 14.23 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.74 14.97 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 14.97 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.10 15.06 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.06 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 15.12 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.12 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.13 15.26 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.26 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.22 15.48 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.49 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.54 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.54 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.20 15.74 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 15.75 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.04 15.79 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 15.79 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.09 15.89 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 15.89 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.11 16.00 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.34 0.14 16.13 ^ housekeeping/_9759_/RESET_B (sky130_fd_sc_hd__dfrtp_4) + 16.13 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 3.68 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.69 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.08 3.76 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 3.76 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.14 3.91 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 3.91 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.14 4.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.10 0.00 4.05 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.39 0.34 4.40 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.39 0.01 4.40 ^ housekeeping/_9759_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.25 4.65 clock uncertainty + -0.43 4.22 clock reconvergence pessimism + 0.32 4.55 library removal time + 4.55 data required time +----------------------------------------------------------------------------- + 4.55 data required time + -16.13 data arrival time +----------------------------------------------------------------------------- + 11.59 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9587_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.73 14.23 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.74 14.97 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 14.97 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.10 15.06 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.06 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 15.12 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.12 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.13 15.26 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.26 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.22 15.48 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.49 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.54 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.54 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.20 15.74 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 15.75 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.04 15.79 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 15.79 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.09 15.89 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 15.89 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.11 16.00 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.34 0.14 16.14 ^ housekeeping/_9587_/RESET_B (sky130_fd_sc_hd__dfrtp_4) + 16.14 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 3.68 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.69 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.08 3.76 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 3.76 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.14 3.91 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 3.91 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.14 4.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.10 0.00 4.05 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.39 0.34 4.40 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.39 0.01 4.40 ^ housekeeping/_9587_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.25 4.65 clock uncertainty + -0.43 4.22 clock reconvergence pessimism + 0.33 4.55 library removal time + 4.55 data required time +----------------------------------------------------------------------------- + 4.55 data required time + -16.14 data arrival time +----------------------------------------------------------------------------- + 11.59 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9062_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.73 14.23 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.74 14.97 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 14.97 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.10 15.06 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.06 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 15.12 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.12 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.13 15.26 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.26 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.22 15.48 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.49 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.54 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.54 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.20 15.74 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 15.75 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.04 15.79 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 15.79 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.09 15.89 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 15.89 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.11 16.00 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.34 0.14 16.14 ^ housekeeping/_9062_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 16.14 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 3.68 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.69 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.08 3.76 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 3.76 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.14 3.91 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 3.91 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.14 4.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.10 0.00 4.05 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.39 0.34 4.40 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.39 0.00 4.40 ^ housekeeping/_9062_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 4.65 clock uncertainty + -0.43 4.22 clock reconvergence pessimism + 0.33 4.55 library removal time + 4.55 data required time +----------------------------------------------------------------------------- + 4.55 data required time + -16.14 data arrival time +----------------------------------------------------------------------------- + 11.59 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9119_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.73 14.23 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.74 14.97 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 14.97 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.10 15.06 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.06 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 15.12 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.12 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.13 15.26 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.26 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.22 15.48 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.49 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.54 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.54 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.20 15.74 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 15.75 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.04 15.79 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 15.79 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.09 15.89 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 15.89 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.11 16.00 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.35 0.14 16.14 ^ housekeeping/_9119_/RESET_B (sky130_fd_sc_hd__dfrtp_4) + 16.14 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 3.68 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.69 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.08 3.76 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 3.76 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.14 3.91 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 3.91 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.14 4.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.10 0.00 4.05 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.39 0.34 4.40 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.39 0.00 4.40 ^ housekeeping/_9119_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.25 4.65 clock uncertainty + -0.43 4.22 clock reconvergence pessimism + 0.33 4.55 library removal time + 4.55 data required time +----------------------------------------------------------------------------- + 4.55 data required time + -16.14 data arrival time +----------------------------------------------------------------------------- + 11.60 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9067_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.73 14.23 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.74 14.97 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 14.97 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.10 15.06 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.06 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 15.12 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.12 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.13 15.26 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.26 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.22 15.48 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.49 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.54 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.54 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.20 15.74 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 15.75 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.04 15.79 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 15.79 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.09 15.89 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 15.89 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.11 16.00 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.36 0.15 16.15 ^ housekeeping/_9067_/RESET_B (sky130_fd_sc_hd__dfrtp_4) + 16.15 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 3.68 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.69 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.08 3.76 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 3.76 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.14 3.91 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 3.91 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.14 4.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.10 0.00 4.05 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.39 0.34 4.40 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.39 0.00 4.40 ^ housekeeping/_9067_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.25 4.65 clock uncertainty + -0.43 4.22 clock reconvergence pessimism + 0.33 4.55 library removal time + 4.55 data required time +----------------------------------------------------------------------------- + 4.55 data required time + -16.15 data arrival time +----------------------------------------------------------------------------- + 11.60 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9064_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.73 14.23 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.74 14.97 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 14.97 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.10 15.06 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.06 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 15.12 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.12 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.13 15.26 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.26 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.22 15.48 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.49 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.54 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.54 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.20 15.74 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 15.75 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.04 15.79 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 15.79 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.09 15.89 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 15.89 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.11 16.00 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.35 0.15 16.15 ^ housekeeping/_9064_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 16.15 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 3.68 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.69 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.08 3.76 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 3.76 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.14 3.91 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 3.91 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.14 4.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.10 0.00 4.05 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.39 0.34 4.40 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.39 0.00 4.40 ^ housekeeping/_9064_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 4.65 clock uncertainty + -0.43 4.22 clock reconvergence pessimism + 0.33 4.55 library removal time + 4.55 data required time +----------------------------------------------------------------------------- + 4.55 data required time + -16.15 data arrival time +----------------------------------------------------------------------------- + 11.60 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9060_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.73 14.23 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.74 14.97 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 14.97 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.10 15.06 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.06 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 15.12 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.12 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.13 15.26 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.26 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.22 15.48 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.49 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.54 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.54 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.20 15.74 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 15.75 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.04 15.79 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 15.79 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.09 15.89 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 15.89 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.11 16.00 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.35 0.14 16.14 ^ housekeeping/_9060_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 16.14 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 3.68 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.69 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.08 3.76 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 3.76 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.14 3.91 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 3.91 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.14 4.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.10 0.00 4.05 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.39 0.34 4.40 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.39 0.00 4.40 ^ housekeeping/_9060_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 4.65 clock uncertainty + -0.43 4.22 clock reconvergence pessimism + 0.33 4.55 library removal time + 4.55 data required time +----------------------------------------------------------------------------- + 4.55 data required time + -16.14 data arrival time +----------------------------------------------------------------------------- + 11.60 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9063_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.73 14.23 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.74 14.97 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 14.97 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.10 15.06 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.06 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 15.12 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.12 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.13 15.26 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.26 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.22 15.48 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.49 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.54 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.54 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.20 15.74 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 15.75 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.04 15.79 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 15.79 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.09 15.89 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 15.89 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.11 16.00 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.35 0.15 16.15 ^ housekeeping/_9063_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 16.15 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 3.68 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.69 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.08 3.76 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 3.76 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.14 3.91 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 3.91 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.14 4.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.10 0.00 4.05 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.39 0.34 4.40 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.39 0.00 4.40 ^ housekeeping/_9063_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 4.65 clock uncertainty + -0.43 4.22 clock reconvergence pessimism + 0.33 4.55 library removal time + 4.55 data required time +----------------------------------------------------------------------------- + 4.55 data required time + -16.15 data arrival time +----------------------------------------------------------------------------- + 11.60 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9066_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.73 14.23 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.74 14.97 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 14.97 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.10 15.06 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.06 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 15.12 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.12 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.13 15.26 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.26 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.22 15.48 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.49 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.54 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.54 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.20 15.74 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 15.75 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.04 15.79 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 15.79 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.09 15.89 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 15.89 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.11 16.00 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.35 0.15 16.15 ^ housekeeping/_9066_/RESET_B (sky130_fd_sc_hd__dfrtp_4) + 16.15 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 3.68 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.69 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.08 3.76 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 3.76 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.14 3.91 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 3.91 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.14 4.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.10 0.00 4.05 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.39 0.34 4.40 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.39 0.00 4.40 ^ housekeeping/_9066_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.25 4.65 clock uncertainty + -0.43 4.22 clock reconvergence pessimism + 0.33 4.55 library removal time + 4.55 data required time +----------------------------------------------------------------------------- + 4.55 data required time + -16.15 data arrival time +----------------------------------------------------------------------------- + 11.60 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9068_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.73 14.23 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.74 14.97 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 14.97 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.10 15.06 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.06 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 15.12 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.12 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.13 15.26 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.26 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.22 15.48 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.49 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.54 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.54 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.20 15.74 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 15.75 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.04 15.79 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 15.79 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.09 15.89 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 15.89 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.11 16.00 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.35 0.15 16.15 ^ housekeeping/_9068_/RESET_B (sky130_fd_sc_hd__dfrtp_4) + 16.15 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 3.68 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.69 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.08 3.76 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 3.76 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.14 3.91 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 3.91 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.14 4.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.10 0.00 4.05 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.39 0.34 4.40 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.39 0.00 4.40 ^ housekeeping/_9068_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.25 4.65 clock uncertainty + -0.43 4.22 clock reconvergence pessimism + 0.33 4.55 library removal time + 4.55 data required time +----------------------------------------------------------------------------- + 4.55 data required time + -16.15 data arrival time +----------------------------------------------------------------------------- + 11.60 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9061_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.73 14.23 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.74 14.97 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 14.97 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.10 15.06 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.06 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 15.12 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.12 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.13 15.26 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.26 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.22 15.48 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.49 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.54 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.54 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.20 15.74 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 15.75 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.04 15.79 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 15.79 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.09 15.89 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 15.89 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.11 16.00 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.35 0.15 16.15 ^ housekeeping/_9061_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 16.15 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 3.68 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.69 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.08 3.76 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 3.76 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.14 3.91 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 3.91 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.14 4.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.10 0.00 4.05 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.39 0.34 4.40 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.39 0.00 4.40 ^ housekeeping/_9061_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 4.65 clock uncertainty + -0.43 4.22 clock reconvergence pessimism + 0.33 4.55 library removal time + 4.55 data required time +----------------------------------------------------------------------------- + 4.55 data required time + -16.15 data arrival time +----------------------------------------------------------------------------- + 11.60 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9666_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.73 14.23 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.74 14.97 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 14.97 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.10 15.06 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.06 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 15.12 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.12 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.13 15.26 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.26 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.22 15.48 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.49 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.54 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.54 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.20 15.74 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 15.75 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.04 15.79 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 15.79 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.09 15.89 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 15.89 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.11 16.00 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.36 0.15 16.15 ^ housekeeping/_9666_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 16.15 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 3.68 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.69 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.08 3.76 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 3.76 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.14 3.91 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 3.91 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.14 4.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.10 0.00 4.05 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.39 0.34 4.40 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.39 0.00 4.40 ^ housekeeping/_9666_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 4.65 clock uncertainty + -0.43 4.22 clock reconvergence pessimism + 0.33 4.55 library removal time + 4.55 data required time +----------------------------------------------------------------------------- + 4.55 data required time + -16.15 data arrival time +----------------------------------------------------------------------------- + 11.60 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9665_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.73 14.23 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.74 14.97 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 14.97 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.10 15.06 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.06 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 15.12 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.12 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.13 15.26 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.26 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.22 15.48 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.49 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.54 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.54 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.20 15.74 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 15.75 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.04 15.79 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 15.79 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.09 15.89 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 15.89 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.11 16.00 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.36 0.15 16.15 ^ housekeeping/_9665_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 16.15 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 3.68 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.69 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.08 3.76 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 3.76 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.14 3.91 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 3.91 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.14 4.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.10 0.00 4.05 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.39 0.34 4.40 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.39 0.00 4.40 ^ housekeeping/_9665_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 4.65 clock uncertainty + -0.43 4.22 clock reconvergence pessimism + 0.33 4.55 library removal time + 4.55 data required time +----------------------------------------------------------------------------- + 4.55 data required time + -16.15 data arrival time +----------------------------------------------------------------------------- + 11.60 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9659_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.73 14.23 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.74 14.97 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 14.97 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.10 15.06 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.06 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 15.12 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.12 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.13 15.26 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.26 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.22 15.48 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.49 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.54 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.54 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.20 15.74 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 15.75 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.04 15.79 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 15.79 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.09 15.89 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 15.89 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.11 16.00 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.36 0.15 16.15 ^ housekeeping/_9659_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 16.15 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 3.68 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.69 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.08 3.76 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 3.76 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.14 3.91 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 3.91 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.14 4.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.10 0.00 4.05 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.39 0.34 4.40 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.39 0.00 4.40 ^ housekeeping/_9659_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 4.65 clock uncertainty + -0.43 4.22 clock reconvergence pessimism + 0.33 4.55 library removal time + 4.55 data required time +----------------------------------------------------------------------------- + 4.55 data required time + -16.15 data arrival time +----------------------------------------------------------------------------- + 11.60 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9680_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.73 14.23 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.74 14.97 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 14.97 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.10 15.06 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.06 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 15.12 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.12 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.13 15.26 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.26 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.22 15.48 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.49 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.54 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.54 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.20 15.74 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 15.75 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.04 15.79 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 15.79 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.09 15.89 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 15.89 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.11 16.00 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.24 0.07 16.07 ^ housekeeping/_9680_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 16.07 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 3.68 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.68 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.08 3.76 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.04 0.00 3.77 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.13 3.90 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.12 0.00 3.90 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.16 4.06 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.12 0.00 4.06 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.29 0.28 4.34 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.29 0.01 4.35 ^ housekeeping/_9680_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 4.60 clock uncertainty + -0.43 4.17 clock reconvergence pessimism + 0.29 4.46 library removal time + 4.46 data required time +----------------------------------------------------------------------------- + 4.46 data required time + -16.07 data arrival time +----------------------------------------------------------------------------- + 11.62 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9676_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.73 14.23 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.74 14.97 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 14.97 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.10 15.06 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.06 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 15.12 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.12 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.13 15.26 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.26 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.22 15.48 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.49 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.54 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.54 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.20 15.74 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 15.75 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.04 15.79 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 15.79 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.09 15.89 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 15.89 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.11 16.00 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.25 0.08 16.07 ^ housekeeping/_9676_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 16.07 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 3.68 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.68 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.08 3.76 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.04 0.00 3.77 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.13 3.90 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.12 0.00 3.90 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.16 4.06 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.12 0.00 4.06 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.29 0.28 4.34 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.29 0.01 4.35 ^ housekeeping/_9676_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 4.60 clock uncertainty + -0.43 4.17 clock reconvergence pessimism + 0.29 4.46 library removal time + 4.46 data required time +----------------------------------------------------------------------------- + 4.46 data required time + -16.07 data arrival time +----------------------------------------------------------------------------- + 11.62 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9681_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.73 14.23 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.74 14.97 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 14.97 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.10 15.06 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.06 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 15.12 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.12 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.13 15.26 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.26 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.22 15.48 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.49 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.54 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.54 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.20 15.74 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 15.75 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.04 15.79 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 15.79 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.09 15.89 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 15.89 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.11 16.00 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.25 0.08 16.08 ^ housekeeping/_9681_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 16.08 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 3.68 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.68 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.08 3.76 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.04 0.00 3.77 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.13 3.90 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.12 0.00 3.90 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.16 4.06 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.12 0.00 4.06 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.29 0.28 4.34 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.29 0.01 4.35 ^ housekeeping/_9681_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 4.60 clock uncertainty + -0.43 4.17 clock reconvergence pessimism + 0.29 4.46 library removal time + 4.46 data required time +----------------------------------------------------------------------------- + 4.46 data required time + -16.08 data arrival time +----------------------------------------------------------------------------- + 11.62 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9679_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.73 14.23 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.74 14.97 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 14.97 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.10 15.06 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.06 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 15.12 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.12 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.13 15.26 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.26 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.22 15.48 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.49 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.54 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.54 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.20 15.74 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 15.75 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.04 15.79 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 15.79 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.09 15.89 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 15.89 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.11 16.00 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.26 0.08 16.08 ^ housekeeping/_9679_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 16.08 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 3.68 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.68 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.08 3.76 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.04 0.00 3.77 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.13 3.90 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.12 0.00 3.90 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.16 4.06 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.12 0.00 4.06 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.29 0.28 4.34 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.29 0.01 4.35 ^ housekeeping/_9679_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 4.60 clock uncertainty + -0.43 4.17 clock reconvergence pessimism + 0.29 4.46 library removal time + 4.46 data required time +----------------------------------------------------------------------------- + 4.46 data required time + -16.08 data arrival time +----------------------------------------------------------------------------- + 11.62 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9677_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.73 14.23 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.74 14.97 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 14.97 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.10 15.06 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.06 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 15.12 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.12 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.13 15.26 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.26 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.22 15.48 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.49 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.54 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.54 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.20 15.74 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 15.75 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.04 15.79 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 15.79 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.09 15.89 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 15.89 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.11 16.00 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.26 0.08 16.08 ^ housekeeping/_9677_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 16.08 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 3.68 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.68 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.08 3.76 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.04 0.00 3.77 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.13 3.90 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.12 0.00 3.90 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.16 4.06 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.12 0.00 4.06 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.29 0.28 4.34 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.29 0.01 4.35 ^ housekeeping/_9677_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 4.60 clock uncertainty + -0.43 4.17 clock reconvergence pessimism + 0.29 4.46 library removal time + 4.46 data required time +----------------------------------------------------------------------------- + 4.46 data required time + -16.08 data arrival time +----------------------------------------------------------------------------- + 11.62 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9675_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.73 14.23 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.74 14.97 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 14.97 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.10 15.06 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.06 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 15.12 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.12 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.13 15.26 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.26 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.22 15.48 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.49 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.54 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.54 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.20 15.74 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 15.75 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.04 15.79 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 15.79 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.09 15.89 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 15.89 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.11 16.00 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.26 0.08 16.08 ^ housekeeping/_9675_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 16.08 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 3.68 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.68 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.08 3.76 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.04 0.00 3.77 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.13 3.90 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.12 0.00 3.90 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.16 4.06 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.12 0.00 4.06 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.29 0.28 4.34 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.29 0.01 4.35 ^ housekeeping/_9675_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 4.60 clock uncertainty + -0.43 4.17 clock reconvergence pessimism + 0.29 4.46 library removal time + 4.46 data required time +----------------------------------------------------------------------------- + 4.46 data required time + -16.08 data arrival time +----------------------------------------------------------------------------- + 11.62 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9678_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.73 14.23 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.74 14.97 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 14.97 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.10 15.06 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.06 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 15.12 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.12 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.13 15.26 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.26 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.22 15.48 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.49 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.54 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.54 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.20 15.74 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 15.75 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.04 15.79 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 15.79 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.09 15.89 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 15.89 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.11 16.00 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.27 0.09 16.09 ^ housekeeping/_9678_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 16.09 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 3.68 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.68 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.08 3.76 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.04 0.00 3.77 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.13 3.90 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.12 0.00 3.90 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.16 4.06 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.12 0.00 4.06 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.29 0.28 4.34 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.29 0.01 4.35 ^ housekeeping/_9678_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 4.60 clock uncertainty + -0.43 4.17 clock reconvergence pessimism + 0.29 4.46 library removal time + 4.46 data required time +----------------------------------------------------------------------------- + 4.46 data required time + -16.09 data arrival time +----------------------------------------------------------------------------- + 11.63 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9663_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.73 14.23 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.74 14.97 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 14.97 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.10 15.06 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.06 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 15.12 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.12 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.13 15.26 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.26 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.22 15.48 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.49 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.54 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.54 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.20 15.74 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 15.75 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.04 15.79 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 15.79 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.09 15.89 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 15.89 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.11 16.00 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.36 0.15 16.15 ^ housekeeping/_9663_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 16.15 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 3.68 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.69 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.08 3.76 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 3.76 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.14 3.91 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 3.91 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.14 4.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.10 0.00 4.05 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.32 0.29 4.35 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.32 0.01 4.36 ^ housekeeping/_9663_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 4.61 clock uncertainty + -0.43 4.18 clock reconvergence pessimism + 0.32 4.50 library removal time + 4.50 data required time +----------------------------------------------------------------------------- + 4.50 data required time + -16.15 data arrival time +----------------------------------------------------------------------------- + 11.65 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9664_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.73 14.23 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.74 14.97 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 14.97 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.10 15.06 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.06 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 15.12 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.12 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.13 15.26 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.26 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.22 15.48 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.49 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.54 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.54 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.20 15.74 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 15.75 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.04 15.79 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 15.79 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.09 15.89 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 15.89 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.11 16.00 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.36 0.15 16.15 ^ housekeeping/_9664_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 16.15 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 3.68 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.69 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.08 3.76 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 3.76 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.14 3.91 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 3.91 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.14 4.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.10 0.00 4.05 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.32 0.29 4.35 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.32 0.01 4.36 ^ housekeeping/_9664_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 4.61 clock uncertainty + -0.43 4.17 clock reconvergence pessimism + 0.32 4.50 library removal time + 4.50 data required time +----------------------------------------------------------------------------- + 4.50 data required time + -16.15 data arrival time +----------------------------------------------------------------------------- + 11.65 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9660_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.73 14.23 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.74 14.97 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 14.97 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.10 15.06 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.06 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 15.12 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.12 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.13 15.26 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.26 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.22 15.48 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.49 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.54 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.54 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.20 15.74 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 15.75 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.04 15.79 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 15.79 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.09 15.89 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 15.89 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.11 16.00 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.36 0.15 16.15 ^ housekeeping/_9660_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 16.15 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 3.68 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.69 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.08 3.76 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 3.76 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.14 3.91 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 3.91 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.14 4.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.10 0.00 4.05 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.32 0.29 4.35 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.32 0.01 4.36 ^ housekeeping/_9660_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 4.61 clock uncertainty + -0.43 4.17 clock reconvergence pessimism + 0.32 4.50 library removal time + 4.50 data required time +----------------------------------------------------------------------------- + 4.50 data required time + -16.15 data arrival time +----------------------------------------------------------------------------- + 11.65 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9661_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.73 14.23 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.74 14.97 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 14.97 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.10 15.06 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.06 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 15.12 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.12 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.13 15.26 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.26 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.22 15.48 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.49 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.54 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.54 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.20 15.74 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 15.75 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.04 15.79 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 15.79 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.09 15.89 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 15.89 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.11 16.00 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.36 0.15 16.15 ^ housekeeping/_9661_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 16.15 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 3.68 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.69 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.08 3.76 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 3.76 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.14 3.91 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 3.91 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.14 4.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.10 0.00 4.05 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.32 0.29 4.35 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.32 0.01 4.36 ^ housekeeping/_9661_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 4.61 clock uncertainty + -0.43 4.17 clock reconvergence pessimism + 0.32 4.50 library removal time + 4.50 data required time +----------------------------------------------------------------------------- + 4.50 data required time + -16.15 data arrival time +----------------------------------------------------------------------------- + 11.65 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9662_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.73 14.23 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.74 14.97 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 14.97 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.10 15.06 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.06 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 15.12 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.12 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.13 15.26 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.26 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.22 15.48 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.49 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.54 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.54 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.20 15.74 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 15.75 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.04 15.79 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 15.79 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.09 15.89 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 15.89 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.11 16.00 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.36 0.15 16.15 ^ housekeeping/_9662_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 16.15 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 3.68 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.69 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.08 3.76 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 3.76 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.14 3.91 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 3.91 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.14 4.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.10 0.00 4.05 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.32 0.29 4.35 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.32 0.01 4.36 ^ housekeeping/_9662_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 4.61 clock uncertainty + -0.43 4.17 clock reconvergence pessimism + 0.32 4.50 library removal time + 4.50 data required time +----------------------------------------------------------------------------- + 4.50 data required time + -16.15 data arrival time +----------------------------------------------------------------------------- + 11.65 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9065_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.73 14.23 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.74 14.97 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 14.97 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.10 15.06 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.06 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 15.12 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.12 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.13 15.26 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.26 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.22 15.48 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.49 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.54 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.54 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.20 15.74 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 15.75 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.04 15.79 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 15.79 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.09 15.89 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 15.89 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.11 16.00 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.34 0.14 16.14 ^ housekeeping/_9065_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 16.14 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 3.68 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.69 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.08 3.76 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 3.76 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.14 3.91 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 3.91 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.14 4.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.10 0.00 4.05 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.32 0.29 4.35 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.32 0.00 4.35 ^ housekeeping/_9065_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 4.60 clock uncertainty + -0.43 4.16 clock reconvergence pessimism + 0.32 4.48 library removal time + 4.48 data required time +----------------------------------------------------------------------------- + 4.48 data required time + -16.14 data arrival time +----------------------------------------------------------------------------- + 11.66 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9059_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.73 14.23 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.74 14.97 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 14.97 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.10 15.06 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.06 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 15.12 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.12 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.13 15.26 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.26 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.22 15.48 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.49 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.54 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.54 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.20 15.74 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 15.75 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.04 15.79 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 15.79 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.09 15.89 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 15.89 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.11 16.00 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.35 0.15 16.15 ^ housekeeping/_9059_/SET_B (sky130_fd_sc_hd__dfstp_1) + 16.15 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 3.68 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.69 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.08 3.76 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 3.76 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.14 3.91 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 3.91 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.14 4.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.10 0.00 4.05 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.39 0.34 4.40 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.39 0.00 4.40 ^ housekeeping/_9059_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.25 4.65 clock uncertainty + -0.43 4.22 clock reconvergence pessimism + 0.15 4.37 library removal time + 4.37 data required time +----------------------------------------------------------------------------- + 4.37 data required time + -16.15 data arrival time +----------------------------------------------------------------------------- + 11.78 slack (MET) + + +Startpoint: soc/core/_37568_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_36378_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 1.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 2.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 2.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 2.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 2.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 2.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 2.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 2.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 2.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 2.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 3.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.04 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.12 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 3.13 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.21 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 3.21 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.30 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 3.30 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.07 3.37 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 3.37 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.18 0.17 3.54 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.19 0.02 3.56 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 3.66 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_0_0_core_clk (net) + 0.03 0.00 3.66 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.27 3.93 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.15 soc/core/clknet_2_0_1_core_clk (net) + 0.35 0.03 3.96 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 4.08 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_0_core_clk (net) + 0.03 0.00 4.08 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.07 4.15 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_1_core_clk (net) + 0.02 0.00 4.15 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.10 4.25 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.02 soc/core/clknet_3_1_2_core_clk (net) + 0.06 0.00 4.25 ^ soc/core/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.11 4.36 ^ soc/core/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_3_0_core_clk (net) + 0.07 0.00 4.37 ^ soc/core/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.46 ^ soc/core/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_7_0_core_clk (net) + 0.03 0.00 4.46 ^ soc/core/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.39 0.31 4.77 ^ soc/core/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 22 0.18 soc/core/clknet_5_7_1_core_clk (net) + 0.39 0.00 4.77 ^ soc/core/clkbuf_leaf_383_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.16 4.93 ^ soc/core/clkbuf_leaf_383_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 32 0.08 soc/core/clknet_leaf_383_core_clk (net) + 0.08 0.00 4.93 ^ soc/core/_37568_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.07 0.22 5.16 ^ soc/core/_37568_/Q (sky130_fd_sc_hd__dfxtp_2) + 4 0.02 soc/core/VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[0] (net) + 0.07 0.00 5.16 ^ soc/core/_33651_/A0 (sky130_fd_sc_hd__mux2_2) + 0.10 0.15 5.31 ^ soc/core/_33651_/X (sky130_fd_sc_hd__mux2_2) + 2 0.02 soc/core/_01775_ (net) + 0.10 0.00 5.31 ^ soc/core/_33652_/A1 (sky130_fd_sc_hd__mux2_1) + 0.03 0.09 5.39 ^ soc/core/_33652_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/_00033_ (net) + 0.03 0.00 5.40 ^ soc/core/_28173_/A1 (sky130_fd_sc_hd__a21o_1) + 0.02 0.05 5.45 ^ soc/core/_28173_/X (sky130_fd_sc_hd__a21o_1) + 1 0.00 soc/core/_03844_ (net) + 0.02 0.00 5.45 ^ soc/core/_36378_/D (sky130_fd_sc_hd__dfxtp_1) + 5.45 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.13 3.68 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.68 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.78 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 3.78 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.87 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 3.87 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.96 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 3.96 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 4.06 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 4.06 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.17 4.23 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 4.24 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 4.36 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.03 0.00 4.36 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 4.52 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.11 0.00 4.52 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.64 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.04 0.00 4.64 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.73 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.03 0.00 4.73 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.14 4.87 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_4_2_core_clk (net) + 0.11 0.01 4.88 ^ soc/core/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.15 5.03 ^ soc/core/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_9_0_core_clk (net) + 0.08 0.00 5.03 ^ soc/core/clkbuf_5_18_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 5.13 ^ soc/core/clkbuf_5_18_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_18_0_core_clk (net) + 0.03 0.00 5.13 ^ soc/core/clkbuf_5_18_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.59 0.45 5.59 ^ soc/core/clkbuf_5_18_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.26 soc/core/clknet_5_18_1_core_clk (net) + 0.61 0.08 5.67 ^ soc/core/clkbuf_leaf_373_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.18 5.85 ^ soc/core/clkbuf_leaf_373_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.07 soc/core/clknet_leaf_373_core_clk (net) + 0.08 0.00 5.85 ^ soc/core/_36378_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 6.10 clock uncertainty + -0.64 5.46 clock reconvergence pessimism + -0.02 5.44 library hold time + 5.44 data required time +----------------------------------------------------------------------------- + 5.44 data required time + -5.45 data arrival time +----------------------------------------------------------------------------- + 0.00 slack (MET) + + +Startpoint: soc/core/_39133_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_38050_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 1.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 2.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 2.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 2.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 2.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 2.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 2.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 2.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 2.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 2.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 3.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 3.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 3.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 3.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 3.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 3.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 3.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 3.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 3.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 3.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 3.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 3.80 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 3.91 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.04 0.00 3.91 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.99 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.03 0.00 3.99 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.12 4.11 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.09 0.00 4.12 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 4.25 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.08 0.00 4.25 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.34 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.03 0.00 4.34 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.64 0.47 4.80 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.64 0.01 4.81 ^ soc/core/clkbuf_leaf_127_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.07 0.16 4.97 ^ soc/core/clkbuf_leaf_127_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 20 0.06 soc/core/clknet_leaf_127_core_clk (net) + 0.07 0.00 4.97 ^ soc/core/_39133_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.06 0.22 5.20 v soc/core/_39133_/Q (sky130_fd_sc_hd__dfxtp_1) + 3 0.02 soc/core/gpioin0_enable_storage (net) + 0.06 0.00 5.20 v soc/core/_23910_/A2 (sky130_fd_sc_hd__a32oi_4) + 0.06 0.12 5.32 ^ soc/core/_23910_/Y (sky130_fd_sc_hd__a32oi_4) + 1 0.01 soc/core/_12211_ (net) + 0.06 0.00 5.32 ^ soc/core/_23913_/A2 (sky130_fd_sc_hd__a41oi_2) + 0.03 0.08 5.40 v soc/core/_23913_/Y (sky130_fd_sc_hd__a41oi_2) + 1 0.01 soc/core/_05516_ (net) + 0.03 0.00 5.40 v soc/core/_38050_/D (sky130_fd_sc_hd__dfxtp_1) + 5.40 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.13 3.68 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.68 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.78 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 3.78 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.87 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 3.87 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.96 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 3.96 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 4.06 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 4.06 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.17 4.23 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 4.24 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.36 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 4.37 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.16 4.52 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 4.52 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.65 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.04 0.00 4.65 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.73 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.03 0.00 4.73 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.13 4.87 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.09 0.01 4.87 ^ soc/core/clkbuf_4_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 5.01 ^ soc/core/clkbuf_4_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_13_0_core_clk (net) + 0.07 0.00 5.01 ^ soc/core/clkbuf_5_26_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 5.11 ^ soc/core/clkbuf_5_26_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_26_0_core_clk (net) + 0.03 0.00 5.11 ^ soc/core/clkbuf_5_26_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.77 0.61 5.73 ^ soc/core/clkbuf_5_26_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.35 soc/core/clknet_5_26_1_core_clk (net) + 0.77 0.01 5.73 ^ soc/core/clkbuf_leaf_136_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.18 5.91 ^ soc/core/clkbuf_leaf_136_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 28 0.07 soc/core/clknet_leaf_136_core_clk (net) + 0.08 0.00 5.92 ^ soc/core/_38050_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 6.17 clock uncertainty + -0.76 5.41 clock reconvergence pessimism + -0.04 5.38 library hold time + 5.38 data required time +----------------------------------------------------------------------------- + 5.38 data required time + -5.40 data arrival time +----------------------------------------------------------------------------- + 0.03 slack (MET) + + +Startpoint: soc/core/_35419_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_35478_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 1.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 2.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 2.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 2.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 2.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 2.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 2.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 2.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 2.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 2.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 3.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 3.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 3.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 3.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 3.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 3.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 3.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 3.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 3.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 3.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 3.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 3.80 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 3.91 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.04 0.00 3.91 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.99 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.03 0.00 3.99 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.12 4.11 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.10 0.01 4.12 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 4.25 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.08 0.00 4.25 ^ soc/core/clkbuf_5_29_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.34 ^ soc/core/clkbuf_5_29_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_29_0_core_clk (net) + 0.03 0.00 4.34 ^ soc/core/clkbuf_5_29_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.54 0.40 4.74 ^ soc/core/clkbuf_5_29_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.24 soc/core/clknet_5_29_1_core_clk (net) + 0.54 0.01 4.75 ^ soc/core/clkbuf_leaf_283_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.16 4.91 ^ soc/core/clkbuf_leaf_283_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 30 0.08 soc/core/clknet_leaf_283_core_clk (net) + 0.08 0.00 4.92 ^ soc/core/_35419_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.04 0.20 5.12 ^ soc/core/_35419_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.00 soc/core/VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][27] (net) + 0.04 0.00 5.12 ^ soc/core/_32821_/A0 (sky130_fd_sc_hd__mux2_1) + 0.03 0.08 5.19 ^ soc/core/_32821_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/VexRiscv.IBusCachedPlugin_cache.ways_0_tags$rdreg[0]$d[27] (net) + 0.03 0.00 5.19 ^ soc/core/_30172_/A1 (sky130_fd_sc_hd__mux2_1) + 0.03 0.07 5.26 ^ soc/core/_30172_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/_15886_ (net) + 0.03 0.00 5.26 ^ soc/core/_30173_/A (sky130_fd_sc_hd__clkbuf_1) + 0.03 0.05 5.31 ^ soc/core/_30173_/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/_02944_ (net) + 0.03 0.00 5.31 ^ soc/core/_35478_/D (sky130_fd_sc_hd__dfxtp_1) + 5.31 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.13 3.68 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.68 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.78 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 3.78 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.87 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 3.87 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.96 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 3.96 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 4.06 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 4.06 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.17 4.23 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 4.24 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 4.36 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.03 0.00 4.36 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 4.52 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.11 0.00 4.52 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.64 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_0_core_clk (net) + 0.04 0.00 4.64 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.74 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_1_core_clk (net) + 0.03 0.00 4.74 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.13 4.87 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_5_2_core_clk (net) + 0.09 0.01 4.87 ^ soc/core/clkbuf_4_11_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.15 5.02 ^ soc/core/clkbuf_4_11_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_11_0_core_clk (net) + 0.08 0.00 5.03 ^ soc/core/clkbuf_5_23_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 5.13 ^ soc/core/clkbuf_5_23_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_23_0_core_clk (net) + 0.03 0.00 5.13 ^ soc/core/clkbuf_5_23_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.50 0.42 5.55 ^ soc/core/clkbuf_5_23_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.22 soc/core/clknet_5_23_1_core_clk (net) + 0.50 0.01 5.56 ^ soc/core/clkbuf_leaf_282_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.07 0.17 5.73 ^ soc/core/clkbuf_leaf_282_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 22 0.06 soc/core/clknet_leaf_282_core_clk (net) + 0.07 0.00 5.73 ^ soc/core/_35478_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 5.98 clock uncertainty + -0.69 5.28 clock reconvergence pessimism + -0.02 5.27 library hold time + 5.27 data required time +----------------------------------------------------------------------------- + 5.27 data required time + -5.31 data arrival time +----------------------------------------------------------------------------- + 0.04 slack (MET) + + +Startpoint: soc/core/_36721_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_36723_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 1.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 2.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 2.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 2.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 2.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 2.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 2.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 2.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 2.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 2.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 3.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 3.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 3.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 3.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 3.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 3.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 3.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 3.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 3.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 3.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 3.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 3.80 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 3.91 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.04 0.00 3.91 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.99 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.03 0.00 3.99 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.12 4.11 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.10 0.01 4.12 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 4.25 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.08 0.00 4.25 ^ soc/core/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.34 ^ soc/core/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_28_0_core_clk (net) + 0.03 0.00 4.34 ^ soc/core/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.57 0.43 4.77 ^ soc/core/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.26 soc/core/clknet_5_28_1_core_clk (net) + 0.58 0.02 4.79 ^ soc/core/clkbuf_leaf_232_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.15 4.94 ^ soc/core/clkbuf_leaf_232_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 16 0.05 soc/core/clknet_leaf_232_core_clk (net) + 0.06 0.00 4.94 ^ soc/core/_36721_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.12 0.25 5.19 ^ soc/core/_36721_/Q (sky130_fd_sc_hd__dfxtp_2) + 5 0.03 soc/core/mgmtsoc_litespisdrphycore_sr_in[18] (net) + 0.12 0.00 5.20 ^ soc/core/_33731_/A0 (sky130_fd_sc_hd__mux2_1) + 0.03 0.08 5.28 ^ soc/core/_33731_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/_02782_ (net) + 0.03 0.00 5.28 ^ soc/core/_27215_/A1 (sky130_fd_sc_hd__mux2_1) + 0.03 0.07 5.35 ^ soc/core/_27215_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/_14181_ (net) + 0.03 0.00 5.35 ^ soc/core/_27216_/A (sky130_fd_sc_hd__clkbuf_1) + 0.03 0.05 5.40 ^ soc/core/_27216_/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/_04189_ (net) + 0.03 0.00 5.40 ^ soc/core/_36723_/D (sky130_fd_sc_hd__dfxtp_1) + 5.40 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.13 3.68 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.68 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.78 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 3.78 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.87 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 3.87 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.96 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 3.96 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 4.06 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 4.06 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.17 4.23 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 4.24 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.36 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 4.37 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.16 4.52 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 4.52 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.65 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.04 0.00 4.65 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.73 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.03 0.00 4.73 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.13 4.87 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.09 0.00 4.87 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.14 5.01 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.08 0.00 5.02 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 5.12 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.03 0.00 5.12 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.62 0.49 5.60 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.28 soc/core/clknet_5_25_1_core_clk (net) + 0.62 0.06 5.66 ^ soc/core/clkbuf_leaf_233_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.18 5.84 ^ soc/core/clkbuf_leaf_233_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 22 0.07 soc/core/clknet_leaf_233_core_clk (net) + 0.08 0.00 5.84 ^ soc/core/_36723_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 6.09 clock uncertainty + -0.72 5.37 clock reconvergence pessimism + -0.02 5.36 library hold time + 5.36 data required time +----------------------------------------------------------------------------- + 5.36 data required time + -5.40 data arrival time +----------------------------------------------------------------------------- + 0.04 slack (MET) + + +Startpoint: soc/core/_35415_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_35474_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 1.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 2.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 2.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 2.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 2.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 2.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 2.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 2.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 2.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 2.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 3.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 3.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 3.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 3.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 3.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 3.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 3.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 3.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 3.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 3.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 3.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 3.80 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 3.91 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.04 0.00 3.91 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.99 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.03 0.00 3.99 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.12 4.11 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.10 0.01 4.12 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 4.25 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.08 0.00 4.25 ^ soc/core/clkbuf_5_29_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.34 ^ soc/core/clkbuf_5_29_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_29_0_core_clk (net) + 0.03 0.00 4.34 ^ soc/core/clkbuf_5_29_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.54 0.40 4.74 ^ soc/core/clkbuf_5_29_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.24 soc/core/clknet_5_29_1_core_clk (net) + 0.54 0.03 4.77 ^ soc/core/clkbuf_leaf_285_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.16 4.93 ^ soc/core/clkbuf_leaf_285_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 30 0.08 soc/core/clknet_leaf_285_core_clk (net) + 0.08 0.00 4.94 ^ soc/core/_35415_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.04 0.20 5.13 ^ soc/core/_35415_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.00 soc/core/VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][23] (net) + 0.04 0.00 5.13 ^ soc/core/_32817_/A0 (sky130_fd_sc_hd__mux2_1) + 0.03 0.08 5.21 ^ soc/core/_32817_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/VexRiscv.IBusCachedPlugin_cache.ways_0_tags$rdreg[0]$d[23] (net) + 0.03 0.00 5.21 ^ soc/core/_30180_/A1 (sky130_fd_sc_hd__mux2_1) + 0.03 0.07 5.28 ^ soc/core/_30180_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/_15890_ (net) + 0.03 0.00 5.28 ^ soc/core/_30181_/A (sky130_fd_sc_hd__clkbuf_1) + 0.03 0.05 5.33 ^ soc/core/_30181_/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/_02940_ (net) + 0.03 0.00 5.33 ^ soc/core/_35474_/D (sky130_fd_sc_hd__dfxtp_1) + 5.33 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.13 3.68 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.68 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.78 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 3.78 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.87 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 3.87 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.96 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 3.96 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 4.06 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 4.06 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.17 4.23 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 4.24 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 4.36 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.03 0.00 4.36 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 4.52 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.11 0.00 4.52 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.64 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_0_core_clk (net) + 0.04 0.00 4.64 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.74 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_1_core_clk (net) + 0.03 0.00 4.74 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.13 4.87 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_5_2_core_clk (net) + 0.09 0.01 4.87 ^ soc/core/clkbuf_4_11_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.15 5.02 ^ soc/core/clkbuf_4_11_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_11_0_core_clk (net) + 0.08 0.00 5.03 ^ soc/core/clkbuf_5_23_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 5.13 ^ soc/core/clkbuf_5_23_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_23_0_core_clk (net) + 0.03 0.00 5.13 ^ soc/core/clkbuf_5_23_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.50 0.42 5.55 ^ soc/core/clkbuf_5_23_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.22 soc/core/clknet_5_23_1_core_clk (net) + 0.51 0.03 5.58 ^ soc/core/clkbuf_leaf_286_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.16 5.74 ^ soc/core/clkbuf_leaf_286_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 16 0.04 soc/core/clknet_leaf_286_core_clk (net) + 0.06 0.00 5.74 ^ soc/core/_35474_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 5.99 clock uncertainty + -0.69 5.30 clock reconvergence pessimism + -0.02 5.28 library hold time + 5.28 data required time +----------------------------------------------------------------------------- + 5.28 data required time + -5.33 data arrival time +----------------------------------------------------------------------------- + 0.04 slack (MET) + + +Startpoint: soc/core/_38764_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_38753_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 1.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 2.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 2.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 2.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 2.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 2.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 2.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 2.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 2.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 2.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 3.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.04 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.12 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 3.13 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.21 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 3.21 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.30 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 3.30 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.07 3.37 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 3.37 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.18 0.17 3.54 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.19 0.02 3.56 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 3.66 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_0_0_core_clk (net) + 0.03 0.00 3.66 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.27 3.93 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.15 soc/core/clknet_2_0_1_core_clk (net) + 0.35 0.03 3.96 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 4.08 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_0_core_clk (net) + 0.03 0.00 4.08 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.07 4.15 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_1_core_clk (net) + 0.02 0.00 4.15 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.10 4.25 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.02 soc/core/clknet_3_1_2_core_clk (net) + 0.06 0.00 4.25 ^ soc/core/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.11 4.36 ^ soc/core/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_3_0_core_clk (net) + 0.07 0.00 4.37 ^ soc/core/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.46 ^ soc/core/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_7_0_core_clk (net) + 0.03 0.00 4.46 ^ soc/core/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.39 0.31 4.77 ^ soc/core/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 22 0.18 soc/core/clknet_5_7_1_core_clk (net) + 0.40 0.02 4.79 ^ soc/core/clkbuf_leaf_115_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.09 0.16 4.95 ^ soc/core/clkbuf_leaf_115_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 32 0.09 soc/core/clknet_leaf_115_core_clk (net) + 0.09 0.00 4.95 ^ soc/core/_38764_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.20 0.32 5.27 ^ soc/core/_38764_/Q (sky130_fd_sc_hd__dfxtp_4) + 10 0.09 soc/core/VexRiscv.DebugPlugin_stepIt (net) + 0.21 0.02 5.30 ^ soc/core/_20631_/A1 (sky130_fd_sc_hd__a2111oi_2) + 0.03 0.06 5.36 v soc/core/_20631_/Y (sky130_fd_sc_hd__a2111oi_2) + 1 0.01 soc/core/_09726_ (net) + 0.03 0.00 5.36 v soc/core/_20632_/C (sky130_fd_sc_hd__nand3b_1) + 0.07 0.07 5.44 ^ soc/core/_20632_/Y (sky130_fd_sc_hd__nand3b_1) + 2 0.01 soc/core/_09727_ (net) + 0.07 0.00 5.44 ^ soc/core/_20682_/A2 (sky130_fd_sc_hd__a21oi_1) + 0.02 0.04 5.48 v soc/core/_20682_/Y (sky130_fd_sc_hd__a21oi_1) + 1 0.00 soc/core/_06219_ (net) + 0.02 0.00 5.48 v soc/core/_38753_/D (sky130_fd_sc_hd__dfxtp_2) + 5.48 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.13 3.68 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.68 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.78 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 3.78 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.87 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 3.87 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.96 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 3.96 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 4.06 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 4.06 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.17 4.23 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 4.24 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 4.36 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.03 0.00 4.36 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 4.52 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.11 0.00 4.52 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.64 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.04 0.00 4.64 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.73 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.03 0.00 4.73 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.14 4.87 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_4_2_core_clk (net) + 0.11 0.01 4.88 ^ soc/core/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.15 5.03 ^ soc/core/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_9_0_core_clk (net) + 0.08 0.00 5.03 ^ soc/core/clkbuf_5_18_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 5.13 ^ soc/core/clkbuf_5_18_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_18_0_core_clk (net) + 0.03 0.00 5.13 ^ soc/core/clkbuf_5_18_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.59 0.45 5.59 ^ soc/core/clkbuf_5_18_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.26 soc/core/clknet_5_18_1_core_clk (net) + 0.61 0.08 5.67 ^ soc/core/clkbuf_leaf_379_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.18 5.85 ^ soc/core/clkbuf_leaf_379_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_379_core_clk (net) + 0.08 0.00 5.85 ^ soc/core/_38753_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.25 6.10 clock uncertainty + -0.64 5.46 clock reconvergence pessimism + -0.03 5.43 library hold time + 5.43 data required time +----------------------------------------------------------------------------- + 5.43 data required time + -5.48 data arrival time +----------------------------------------------------------------------------- + 0.05 slack (MET) + + +Startpoint: soc/core/_36721_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_36722_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 1.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 2.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 2.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 2.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 2.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 2.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 2.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 2.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 2.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 2.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 3.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 3.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 3.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 3.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 3.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 3.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 3.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 3.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 3.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 3.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 3.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 3.80 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 3.91 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.04 0.00 3.91 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.99 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.03 0.00 3.99 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.12 4.11 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.10 0.01 4.12 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 4.25 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.08 0.00 4.25 ^ soc/core/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.34 ^ soc/core/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_28_0_core_clk (net) + 0.03 0.00 4.34 ^ soc/core/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.57 0.43 4.77 ^ soc/core/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.26 soc/core/clknet_5_28_1_core_clk (net) + 0.58 0.02 4.79 ^ soc/core/clkbuf_leaf_232_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.15 4.94 ^ soc/core/clkbuf_leaf_232_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 16 0.05 soc/core/clknet_leaf_232_core_clk (net) + 0.06 0.00 4.94 ^ soc/core/_36721_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.12 0.25 5.19 ^ soc/core/_36721_/Q (sky130_fd_sc_hd__dfxtp_2) + 5 0.03 soc/core/mgmtsoc_litespisdrphycore_sr_in[18] (net) + 0.12 0.00 5.20 ^ soc/core/_33732_/A1 (sky130_fd_sc_hd__mux2_1) + 0.03 0.09 5.28 ^ soc/core/_33732_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/_02780_ (net) + 0.03 0.00 5.28 ^ soc/core/_27217_/A1 (sky130_fd_sc_hd__mux2_1) + 0.03 0.07 5.36 ^ soc/core/_27217_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/_14182_ (net) + 0.03 0.00 5.36 ^ soc/core/_27218_/A (sky130_fd_sc_hd__clkbuf_1) + 0.03 0.05 5.40 ^ soc/core/_27218_/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/_04188_ (net) + 0.03 0.00 5.40 ^ soc/core/_36722_/D (sky130_fd_sc_hd__dfxtp_2) + 5.40 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.13 3.68 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.68 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.78 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 3.78 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.87 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 3.87 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.96 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 3.96 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 4.06 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 4.06 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.17 4.23 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 4.24 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.36 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 4.37 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.16 4.52 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 4.52 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.65 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.04 0.00 4.65 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.73 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.03 0.00 4.73 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.13 4.87 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.09 0.00 4.87 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.14 5.01 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.08 0.00 5.02 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 5.12 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.03 0.00 5.12 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.62 0.49 5.60 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.28 soc/core/clknet_5_25_1_core_clk (net) + 0.62 0.06 5.66 ^ soc/core/clkbuf_leaf_233_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.18 5.84 ^ soc/core/clkbuf_leaf_233_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 22 0.07 soc/core/clknet_leaf_233_core_clk (net) + 0.08 0.00 5.84 ^ soc/core/_36722_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.25 6.09 clock uncertainty + -0.72 5.37 clock reconvergence pessimism + -0.02 5.36 library hold time + 5.36 data required time +----------------------------------------------------------------------------- + 5.36 data required time + -5.40 data arrival time +----------------------------------------------------------------------------- + 0.05 slack (MET) + + +Startpoint: soc/core/_35204_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_39462_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 1.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 2.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 2.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 2.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 2.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 2.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 2.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 2.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 2.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 2.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 3.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 3.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 3.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 3.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 3.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 3.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 3.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 3.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 3.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 3.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 3.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 3.80 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 3.91 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.04 0.00 3.91 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.99 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.03 0.00 3.99 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.12 4.11 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.10 0.01 4.12 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 4.25 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.08 0.00 4.25 ^ soc/core/clkbuf_5_29_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.34 ^ soc/core/clkbuf_5_29_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_29_0_core_clk (net) + 0.03 0.00 4.34 ^ soc/core/clkbuf_5_29_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.54 0.40 4.74 ^ soc/core/clkbuf_5_29_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.24 soc/core/clknet_5_29_1_core_clk (net) + 0.54 0.03 4.77 ^ soc/core/clkbuf_leaf_210_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.07 0.16 4.93 ^ soc/core/clkbuf_leaf_210_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_210_core_clk (net) + 0.07 0.00 4.93 ^ soc/core/_35204_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.04 0.22 5.15 v soc/core/_35204_/Q (sky130_fd_sc_hd__dfxtp_2) + 4 0.02 soc/core/multiregimpl0_regs1 (net) + 0.04 0.00 5.15 v soc/core/hold1311/A (sky130_fd_sc_hd__buf_2) + 0.05 0.10 5.26 v soc/core/hold1311/X (sky130_fd_sc_hd__buf_2) + 4 0.03 soc/core/net4160 (net) + 0.05 0.00 5.26 v soc/core/_17238_/A (sky130_fd_sc_hd__nand3_4) + 0.06 0.07 5.33 ^ soc/core/_17238_/Y (sky130_fd_sc_hd__nand3_4) + 2 0.02 soc/core/_07242_ (net) + 0.06 0.00 5.33 ^ soc/core/_17422_/B1_N (sky130_fd_sc_hd__a21bo_1) + 0.02 0.09 5.42 v soc/core/_17422_/X (sky130_fd_sc_hd__a21bo_1) + 1 0.00 soc/core/_06928_ (net) + 0.02 0.00 5.42 v soc/core/_39462_/D (sky130_fd_sc_hd__dfxtp_1) + 5.42 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.13 3.68 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.68 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.78 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 3.78 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.87 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 3.87 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.96 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 3.96 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 4.06 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 4.06 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.17 4.23 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 4.24 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.36 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 4.37 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.16 4.52 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 4.52 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.64 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.04 0.00 4.64 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.73 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.03 0.00 4.73 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.14 4.87 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.10 0.01 4.87 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.15 5.02 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.08 0.00 5.02 ^ soc/core/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 5.12 ^ soc/core/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_31_0_core_clk (net) + 0.03 0.00 5.12 ^ soc/core/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.71 0.56 5.68 ^ soc/core/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 38 0.32 soc/core/clknet_5_31_1_core_clk (net) + 0.71 0.05 5.73 ^ soc/core/clkbuf_leaf_192_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.18 5.90 ^ soc/core/clkbuf_leaf_192_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.06 soc/core/clknet_leaf_192_core_clk (net) + 0.08 0.00 5.91 ^ soc/core/_39462_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 6.16 clock uncertainty + -0.75 5.40 clock reconvergence pessimism + -0.03 5.37 library hold time + 5.37 data required time +----------------------------------------------------------------------------- + 5.37 data required time + -5.42 data arrival time +----------------------------------------------------------------------------- + 0.05 slack (MET) + + +Startpoint: housekeeping/_9014_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9014_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 1.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 2.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 2.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 2.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 2.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 2.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 2.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 2.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 2.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 2.92 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 3.04 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.04 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.07 3.11 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 3.11 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.13 3.24 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 3.24 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.13 3.37 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.10 0.00 3.38 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.17 3.55 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net) + 0.18 0.00 3.55 ^ housekeeping/_9014_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.05 0.22 3.77 ^ housekeeping/_9014_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.01 housekeeping/net328 (net) + 0.05 0.00 3.77 ^ housekeeping/_6982_/B1 (sky130_fd_sc_hd__o22a_1) + 0.02 0.06 3.84 ^ housekeeping/_6982_/X (sky130_fd_sc_hd__o22a_1) + 1 0.00 housekeeping/_0264_ (net) + 0.02 0.00 3.84 ^ housekeeping/_9014_/D (sky130_fd_sc_hd__dfxtp_1) + 3.84 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 3.68 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.69 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.08 3.76 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 3.76 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.14 3.91 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 3.91 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.14 4.05 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.10 0.00 4.05 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.19 4.24 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net) + 0.18 0.00 4.25 ^ housekeeping/_9014_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 4.50 clock uncertainty + -0.70 3.80 clock reconvergence pessimism + -0.01 3.79 library hold time + 3.79 data required time +----------------------------------------------------------------------------- + 3.79 data required time + -3.84 data arrival time +----------------------------------------------------------------------------- + 0.05 slack (MET) + + +Startpoint: soc/core/_38394_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_38396_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 1.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 2.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 2.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 2.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 2.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 2.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 2.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 2.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 2.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 2.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 3.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 3.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 3.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 3.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 3.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 3.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 3.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 3.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 3.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 3.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 3.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 3.80 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 3.91 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.04 0.00 3.91 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.99 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.03 0.00 3.99 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.12 4.11 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.10 0.01 4.12 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 4.25 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.08 0.00 4.25 ^ soc/core/clkbuf_5_30_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.34 ^ soc/core/clkbuf_5_30_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_30_0_core_clk (net) + 0.03 0.00 4.34 ^ soc/core/clkbuf_5_30_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.60 0.44 4.78 ^ soc/core/clkbuf_5_30_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.27 soc/core/clknet_5_30_1_core_clk (net) + 0.60 0.02 4.80 ^ soc/core/clkbuf_leaf_173_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.14 4.94 ^ soc/core/clkbuf_leaf_173_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 6 0.03 soc/core/clknet_leaf_173_core_clk (net) + 0.05 0.00 4.94 ^ soc/core/_38394_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.06 0.24 5.18 v soc/core/_38394_/Q (sky130_fd_sc_hd__dfxtp_4) + 9 0.05 soc/core/litespi_state[1] (net) + 0.06 0.00 5.18 v soc/core/_21775_/A (sky130_fd_sc_hd__and2_1) + 0.03 0.09 5.28 v soc/core/_21775_/X (sky130_fd_sc_hd__and2_1) + 1 0.00 soc/core/_10425_ (net) + 0.03 0.00 5.28 v soc/core/_21776_/A (sky130_fd_sc_hd__inv_2) + 0.05 0.05 5.33 ^ soc/core/_21776_/Y (sky130_fd_sc_hd__inv_2) + 3 0.01 soc/core/_10426_ (net) + 0.05 0.00 5.33 ^ soc/core/_21777_/A3 (sky130_fd_sc_hd__o311ai_1) + 0.03 0.05 5.38 v soc/core/_21777_/Y (sky130_fd_sc_hd__o311ai_1) + 1 0.00 soc/core/_10427_ (net) + 0.03 0.00 5.38 v soc/core/_21778_/C1 (sky130_fd_sc_hd__o211a_1) + 0.02 0.06 5.44 v soc/core/_21778_/X (sky130_fd_sc_hd__o211a_1) + 1 0.00 soc/core/_05862_ (net) + 0.02 0.00 5.44 v soc/core/_38396_/D (sky130_fd_sc_hd__dfxtp_4) + 5.44 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.13 3.68 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.68 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.78 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 3.78 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.87 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 3.87 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.96 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 3.96 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 4.06 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 4.06 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.17 4.23 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 4.24 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.36 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 4.37 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.16 4.52 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 4.52 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.65 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.04 0.00 4.65 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.73 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.03 0.00 4.73 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.13 4.87 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.09 0.01 4.87 ^ soc/core/clkbuf_4_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 5.01 ^ soc/core/clkbuf_4_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_13_0_core_clk (net) + 0.07 0.00 5.01 ^ soc/core/clkbuf_5_27_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 5.11 ^ soc/core/clkbuf_5_27_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_27_0_core_clk (net) + 0.03 0.00 5.11 ^ soc/core/clkbuf_5_27_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.70 0.55 5.67 ^ soc/core/clkbuf_5_27_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 36 0.31 soc/core/clknet_5_27_1_core_clk (net) + 0.70 0.03 5.70 ^ soc/core/clkbuf_leaf_172_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.18 5.88 ^ soc/core/clkbuf_leaf_172_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_172_core_clk (net) + 0.08 0.00 5.88 ^ soc/core/_38396_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.25 6.13 clock uncertainty + -0.72 5.41 clock reconvergence pessimism + -0.02 5.39 library hold time + 5.39 data required time +----------------------------------------------------------------------------- + 5.39 data required time + -5.44 data arrival time +----------------------------------------------------------------------------- + 0.05 slack (MET) + + +Startpoint: housekeeping/_9025_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9025_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 1.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 2.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 2.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 2.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 2.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 2.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 2.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 2.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 2.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 2.92 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 3.04 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.04 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.07 3.11 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 3.11 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.13 3.24 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 3.24 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.13 3.37 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.10 0.00 3.38 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.17 3.55 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net) + 0.18 0.00 3.55 ^ housekeeping/_9025_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.05 0.22 3.77 ^ housekeeping/_9025_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.01 housekeeping/net354 (net) + 0.05 0.00 3.77 ^ housekeeping/_6967_/B1 (sky130_fd_sc_hd__o22a_1) + 0.02 0.06 3.84 ^ housekeeping/_6967_/X (sky130_fd_sc_hd__o22a_1) + 1 0.00 housekeeping/_0275_ (net) + 0.02 0.00 3.84 ^ housekeeping/_9025_/D (sky130_fd_sc_hd__dfxtp_1) + 3.84 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 3.68 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.69 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.08 3.76 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 3.76 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.14 3.91 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 3.91 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.14 4.05 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.10 0.00 4.05 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.19 4.24 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net) + 0.18 0.00 4.25 ^ housekeeping/_9025_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 4.50 clock uncertainty + -0.70 3.80 clock reconvergence pessimism + -0.01 3.79 library hold time + 3.79 data required time +----------------------------------------------------------------------------- + 3.79 data required time + -3.84 data arrival time +----------------------------------------------------------------------------- + 0.05 slack (MET) + + +Startpoint: soc/core/_39118_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_39119_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 1.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 2.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 2.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 2.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 2.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 2.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 2.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 2.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 2.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 2.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 3.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 3.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 3.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 3.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 3.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 3.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 3.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 3.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 3.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 3.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 3.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 3.80 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 3.91 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.04 0.00 3.91 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.99 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.03 0.00 3.99 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.12 4.11 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.10 0.01 4.12 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 4.25 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.08 0.00 4.25 ^ soc/core/clkbuf_5_29_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.34 ^ soc/core/clkbuf_5_29_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_29_0_core_clk (net) + 0.03 0.00 4.34 ^ soc/core/clkbuf_5_29_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.54 0.40 4.74 ^ soc/core/clkbuf_5_29_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.24 soc/core/clknet_5_29_1_core_clk (net) + 0.54 0.01 4.75 ^ soc/core/clkbuf_leaf_212_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.16 4.92 ^ soc/core/clkbuf_leaf_212_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 28 0.07 soc/core/clknet_leaf_212_core_clk (net) + 0.08 0.00 4.92 ^ soc/core/_39118_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.02 0.19 5.11 v soc/core/_39118_/Q (sky130_fd_sc_hd__dfxtp_1) + 1 0.00 soc/core/count[16] (net) + 0.02 0.00 5.11 v soc/core/_16941_/A (sky130_fd_sc_hd__inv_2) + 0.04 0.04 5.15 ^ soc/core/_16941_/Y (sky130_fd_sc_hd__inv_2) + 4 0.01 soc/core/_07006_ (net) + 0.04 0.00 5.15 ^ soc/core/_16943_/C (sky130_fd_sc_hd__and4_2) + 0.11 0.18 5.33 ^ soc/core/_16943_/X (sky130_fd_sc_hd__and4_2) + 4 0.03 soc/core/_07008_ (net) + 0.11 0.00 5.33 ^ soc/core/_19486_/A (sky130_fd_sc_hd__inv_2) + 0.02 0.01 5.35 v soc/core/_19486_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/_08990_ (net) + 0.02 0.00 5.35 v soc/core/_19488_/B (sky130_fd_sc_hd__nand3_1) + 0.05 0.05 5.40 ^ soc/core/_19488_/Y (sky130_fd_sc_hd__nand3_1) + 1 0.00 soc/core/_06585_ (net) + 0.05 0.00 5.40 ^ soc/core/_39119_/D (sky130_fd_sc_hd__dfxtp_1) + 5.40 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.13 3.68 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.68 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.78 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 3.78 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.87 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 3.87 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.96 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 3.96 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 4.06 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 4.06 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.17 4.23 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 4.24 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.36 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 4.37 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.16 4.52 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 4.52 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.64 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.04 0.00 4.64 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.73 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.03 0.00 4.73 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.14 4.87 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.10 0.01 4.87 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.15 5.02 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.08 0.00 5.02 ^ soc/core/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 5.12 ^ soc/core/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_31_0_core_clk (net) + 0.03 0.00 5.12 ^ soc/core/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.71 0.56 5.68 ^ soc/core/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 38 0.32 soc/core/clknet_5_31_1_core_clk (net) + 0.71 0.01 5.69 ^ soc/core/clkbuf_leaf_206_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.07 0.17 5.87 ^ soc/core/clkbuf_leaf_206_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.06 soc/core/clknet_leaf_206_core_clk (net) + 0.07 0.00 5.87 ^ soc/core/_39119_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 6.12 clock uncertainty + -0.75 5.37 clock reconvergence pessimism + -0.02 5.35 library hold time + 5.35 data required time +----------------------------------------------------------------------------- + 5.35 data required time + -5.40 data arrival time +----------------------------------------------------------------------------- + 0.05 slack (MET) + + +Startpoint: housekeeping/_9026_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9026_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 1.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 2.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 2.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 2.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 2.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 2.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 2.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 2.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 2.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 2.92 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 3.04 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.04 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.07 3.11 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 3.11 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.13 3.24 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 3.24 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.13 3.37 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.10 0.00 3.38 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.17 3.55 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net) + 0.18 0.00 3.55 ^ housekeeping/_9026_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.06 0.22 3.77 ^ housekeeping/_9026_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.01 housekeeping/net355 (net) + 0.06 0.00 3.77 ^ housekeeping/_6966_/B1 (sky130_fd_sc_hd__o22a_1) + 0.02 0.06 3.84 ^ housekeeping/_6966_/X (sky130_fd_sc_hd__o22a_1) + 1 0.00 housekeeping/_0276_ (net) + 0.02 0.00 3.84 ^ housekeeping/_9026_/D (sky130_fd_sc_hd__dfxtp_1) + 3.84 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 3.68 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.69 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.08 3.76 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 3.76 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.14 3.91 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 3.91 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.14 4.05 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.10 0.00 4.05 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.19 4.24 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net) + 0.18 0.00 4.25 ^ housekeeping/_9026_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 4.50 clock uncertainty + -0.70 3.80 clock reconvergence pessimism + -0.01 3.79 library hold time + 3.79 data required time +----------------------------------------------------------------------------- + 3.79 data required time + -3.84 data arrival time +----------------------------------------------------------------------------- + 0.05 slack (MET) + + +Startpoint: soc/core/_39417_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_38785_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 1.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 2.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 2.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 2.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 2.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 2.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 2.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 2.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 2.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 2.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 3.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.04 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.12 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 3.13 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.21 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 3.21 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.30 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 3.30 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.07 3.37 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 3.37 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.18 0.17 3.54 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.19 0.03 3.56 ^ soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 3.67 ^ soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 3.67 ^ soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.14 3.80 ^ soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.11 0.00 3.80 ^ soc/core/clkbuf_3_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 3.91 ^ soc/core/clkbuf_3_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_3_0_core_clk (net) + 0.04 0.00 3.91 ^ soc/core/clkbuf_3_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 4.00 ^ soc/core/clkbuf_3_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_3_1_core_clk (net) + 0.03 0.00 4.00 ^ soc/core/clkbuf_3_3_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.13 4.13 ^ soc/core/clkbuf_3_3_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_3_3_2_core_clk (net) + 0.11 0.01 4.14 ^ soc/core/clkbuf_4_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.14 4.28 ^ soc/core/clkbuf_4_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_4_6_0_core_clk (net) + 0.09 0.00 4.28 ^ soc/core/clkbuf_5_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.37 ^ soc/core/clkbuf_5_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_12_0_core_clk (net) + 0.03 0.00 4.38 ^ soc/core/clkbuf_5_12_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.45 0.35 4.72 ^ soc/core/clkbuf_5_12_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 22 0.20 soc/core/clknet_5_12_1_core_clk (net) + 0.45 0.01 4.73 ^ soc/core/clkbuf_leaf_103_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.14 4.87 ^ soc/core/clkbuf_leaf_103_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 9 0.04 soc/core/clknet_leaf_103_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/_39417_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.06 0.20 5.07 ^ soc/core/_39417_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.01 soc/core/spi_master_miso_data[2] (net) + 0.06 0.00 5.07 ^ soc/core/_20540_/A (sky130_fd_sc_hd__nor3b_1) + 0.03 0.04 5.11 v soc/core/_20540_/Y (sky130_fd_sc_hd__nor3b_1) + 1 0.00 soc/core/_09663_ (net) + 0.03 0.00 5.11 v soc/core/_20541_/C1 (sky130_fd_sc_hd__a211oi_1) + 0.08 0.06 5.17 ^ soc/core/_20541_/Y (sky130_fd_sc_hd__a211oi_1) + 1 0.00 soc/core/_06251_ (net) + 0.08 0.00 5.17 ^ soc/core/_38785_/D (sky130_fd_sc_hd__dfxtp_1) + 5.17 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.13 3.68 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.68 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.78 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 3.78 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.10 3.87 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 3.87 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.97 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 3.97 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 4.05 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 4.05 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.18 0.18 4.23 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.19 0.03 4.26 ^ soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 4.37 ^ soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 4.37 ^ soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 4.52 ^ soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.11 0.00 4.53 ^ soc/core/clkbuf_3_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.65 ^ soc/core/clkbuf_3_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_3_0_core_clk (net) + 0.04 0.00 4.65 ^ soc/core/clkbuf_3_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.74 ^ soc/core/clkbuf_3_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_3_1_core_clk (net) + 0.03 0.00 4.74 ^ soc/core/clkbuf_3_3_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 4.89 ^ soc/core/clkbuf_3_3_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_3_3_2_core_clk (net) + 0.11 0.01 4.89 ^ soc/core/clkbuf_4_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.16 5.05 ^ soc/core/clkbuf_4_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_4_6_0_core_clk (net) + 0.09 0.00 5.06 ^ soc/core/clkbuf_5_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 5.16 ^ soc/core/clkbuf_5_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_12_0_core_clk (net) + 0.03 0.00 5.16 ^ soc/core/clkbuf_5_12_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.45 0.38 5.54 ^ soc/core/clkbuf_5_12_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 22 0.20 soc/core/clknet_5_12_1_core_clk (net) + 0.45 0.01 5.55 ^ soc/core/clkbuf_leaf_99_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.16 5.71 ^ soc/core/clkbuf_leaf_99_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 9 0.04 soc/core/clknet_leaf_99_core_clk (net) + 0.06 0.00 5.71 ^ soc/core/_38785_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 5.96 clock uncertainty + -0.82 5.14 clock reconvergence pessimism + -0.02 5.12 library hold time + 5.12 data required time +----------------------------------------------------------------------------- + 5.12 data required time + -5.17 data arrival time +----------------------------------------------------------------------------- + 0.05 slack (MET) + + +Startpoint: soc/core/_37572_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_37173_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 1.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 2.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 2.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 2.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 2.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 2.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 2.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 2.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 2.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 2.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 3.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.04 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.12 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 3.13 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.21 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 3.21 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.30 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 3.30 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.07 3.37 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 3.37 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.18 0.17 3.54 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.19 0.02 3.56 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 3.66 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_0_0_core_clk (net) + 0.03 0.00 3.66 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.27 3.93 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.15 soc/core/clknet_2_0_1_core_clk (net) + 0.35 0.03 3.96 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 4.08 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_0_core_clk (net) + 0.03 0.00 4.08 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.07 4.15 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_1_core_clk (net) + 0.02 0.00 4.15 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.10 4.25 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.02 soc/core/clknet_3_1_2_core_clk (net) + 0.06 0.00 4.25 ^ soc/core/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.11 4.36 ^ soc/core/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_3_0_core_clk (net) + 0.07 0.00 4.37 ^ soc/core/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.46 ^ soc/core/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_7_0_core_clk (net) + 0.03 0.00 4.46 ^ soc/core/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.39 0.31 4.77 ^ soc/core/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 22 0.18 soc/core/clknet_5_7_1_core_clk (net) + 0.39 0.00 4.77 ^ soc/core/clkbuf_leaf_383_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.16 4.93 ^ soc/core/clkbuf_leaf_383_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 32 0.08 soc/core/clknet_leaf_383_core_clk (net) + 0.08 0.00 4.93 ^ soc/core/_37572_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.15 0.29 5.23 v soc/core/_37572_/Q (sky130_fd_sc_hd__dfxtp_4) + 34 0.16 soc/core/VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[4] (net) + 0.17 0.04 5.27 v soc/core/_25899_/B (sky130_fd_sc_hd__nand3_2) + 0.07 0.13 5.40 ^ soc/core/_25899_/Y (sky130_fd_sc_hd__nand3_2) + 2 0.02 soc/core/_13475_ (net) + 0.07 0.00 5.40 ^ soc/core/_26248_/B1_N (sky130_fd_sc_hd__a21bo_1) + 0.02 0.09 5.49 v soc/core/_26248_/X (sky130_fd_sc_hd__a21bo_1) + 1 0.00 soc/core/_04639_ (net) + 0.02 0.00 5.49 v soc/core/_37173_/D (sky130_fd_sc_hd__dfxtp_2) + 5.49 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.13 3.68 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.68 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.78 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 3.78 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.87 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 3.87 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.96 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 3.96 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 4.06 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 4.06 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.17 4.23 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 4.24 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 4.36 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.03 0.00 4.36 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 4.52 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.11 0.00 4.52 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.64 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.04 0.00 4.64 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.73 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.03 0.00 4.73 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.14 4.87 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_4_2_core_clk (net) + 0.11 0.01 4.88 ^ soc/core/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.15 5.03 ^ soc/core/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_9_0_core_clk (net) + 0.08 0.00 5.03 ^ soc/core/clkbuf_5_18_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 5.13 ^ soc/core/clkbuf_5_18_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_18_0_core_clk (net) + 0.03 0.00 5.13 ^ soc/core/clkbuf_5_18_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.59 0.45 5.59 ^ soc/core/clkbuf_5_18_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.26 soc/core/clknet_5_18_1_core_clk (net) + 0.61 0.09 5.67 ^ soc/core/clkbuf_leaf_372_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.18 5.85 ^ soc/core/clkbuf_leaf_372_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_372_core_clk (net) + 0.08 0.00 5.86 ^ soc/core/_37173_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.25 6.11 clock uncertainty + -0.64 5.46 clock reconvergence pessimism + -0.03 5.44 library hold time + 5.44 data required time +----------------------------------------------------------------------------- + 5.44 data required time + -5.49 data arrival time +----------------------------------------------------------------------------- + 0.05 slack (MET) + + +Startpoint: housekeeping/_9024_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9024_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 1.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 2.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 2.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 2.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 2.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 2.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 2.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 2.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 2.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 2.92 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 3.04 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.04 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.07 3.11 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 3.11 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.13 3.24 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 3.24 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.13 3.37 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.10 0.00 3.38 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.17 3.55 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net) + 0.18 0.00 3.55 ^ housekeeping/_9024_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.06 0.23 3.78 ^ housekeeping/_9024_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.01 housekeeping/net353 (net) + 0.06 0.00 3.78 ^ housekeeping/_6968_/B1 (sky130_fd_sc_hd__o22a_2) + 0.02 0.07 3.84 ^ housekeeping/_6968_/X (sky130_fd_sc_hd__o22a_2) + 1 0.00 housekeeping/_0274_ (net) + 0.02 0.00 3.84 ^ housekeeping/_9024_/D (sky130_fd_sc_hd__dfxtp_1) + 3.84 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 3.68 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.69 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.08 3.76 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 3.76 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.14 3.91 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 3.91 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.14 4.05 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.10 0.00 4.05 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.19 4.24 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net) + 0.18 0.00 4.25 ^ housekeeping/_9024_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 4.50 clock uncertainty + -0.70 3.80 clock reconvergence pessimism + -0.01 3.79 library hold time + 3.79 data required time +----------------------------------------------------------------------------- + 3.79 data required time + -3.84 data arrival time +----------------------------------------------------------------------------- + 0.05 slack (MET) + + +Startpoint: housekeeping/_9027_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9027_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 1.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 2.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 2.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 2.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 2.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 2.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 2.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 2.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 2.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 2.92 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 3.04 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.04 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.07 3.11 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 3.11 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.13 3.24 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 3.24 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.13 3.37 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.10 0.00 3.38 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.17 3.55 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net) + 0.18 0.00 3.55 ^ housekeeping/_9027_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.06 0.23 3.78 ^ housekeeping/_9027_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.01 housekeeping/net356 (net) + 0.06 0.00 3.78 ^ housekeeping/_6965_/B1 (sky130_fd_sc_hd__o22a_1) + 0.03 0.07 3.84 ^ housekeeping/_6965_/X (sky130_fd_sc_hd__o22a_1) + 1 0.00 housekeeping/_0277_ (net) + 0.03 0.00 3.84 ^ housekeeping/_9027_/D (sky130_fd_sc_hd__dfxtp_1) + 3.84 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 3.68 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.69 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.08 3.76 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 3.76 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.14 3.91 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 3.91 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.14 4.05 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.10 0.00 4.05 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.19 4.24 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net) + 0.18 0.00 4.25 ^ housekeeping/_9027_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 4.50 clock uncertainty + -0.70 3.80 clock reconvergence pessimism + -0.01 3.79 library hold time + 3.79 data required time +----------------------------------------------------------------------------- + 3.79 data required time + -3.84 data arrival time +----------------------------------------------------------------------------- + 0.05 slack (MET) + + +Startpoint: soc/core/_38295_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_38295_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 1.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 2.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 2.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 2.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 2.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 2.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 2.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 2.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 2.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 2.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 3.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 3.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 3.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 3.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 3.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 3.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 3.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 3.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 3.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 3.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 3.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 3.80 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 3.91 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.04 0.00 3.91 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.99 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.03 0.00 3.99 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.12 4.11 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.10 0.01 4.12 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 4.25 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.08 0.00 4.25 ^ soc/core/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.34 ^ soc/core/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_31_0_core_clk (net) + 0.03 0.00 4.34 ^ soc/core/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.71 0.50 4.85 ^ soc/core/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 38 0.32 soc/core/clknet_5_31_1_core_clk (net) + 0.71 0.03 4.87 ^ soc/core/clkbuf_leaf_187_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.14 5.01 ^ soc/core/clkbuf_leaf_187_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 9 0.03 soc/core/clknet_leaf_187_core_clk (net) + 0.06 0.00 5.02 ^ soc/core/_38295_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.03 0.20 5.21 v soc/core/_38295_/Q (sky130_fd_sc_hd__dfxtp_1) + 3 0.01 soc/core/uart_phy_tx_phase[24] (net) + 0.03 0.00 5.21 v soc/core/_22196_/B1 (sky130_fd_sc_hd__a31oi_1) + 0.05 0.05 5.26 ^ soc/core/_22196_/Y (sky130_fd_sc_hd__a31oi_1) + 1 0.00 soc/core/_10742_ (net) + 0.05 0.00 5.26 ^ soc/core/_22198_/B (sky130_fd_sc_hd__nor3_1) + 0.02 0.03 5.29 v soc/core/_22198_/Y (sky130_fd_sc_hd__nor3_1) + 1 0.00 soc/core/_05761_ (net) + 0.02 0.00 5.29 v soc/core/_38295_/D (sky130_fd_sc_hd__dfxtp_1) + 5.29 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.13 3.68 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.68 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.78 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 3.78 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.87 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 3.87 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.96 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 3.96 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 4.06 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 4.06 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.17 4.23 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 4.24 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.36 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 4.37 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.16 4.52 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 4.52 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.64 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.04 0.00 4.64 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.73 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.03 0.00 4.73 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.14 4.87 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.10 0.01 4.87 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.15 5.02 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.08 0.00 5.02 ^ soc/core/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 5.12 ^ soc/core/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_31_0_core_clk (net) + 0.03 0.00 5.12 ^ soc/core/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.71 0.56 5.68 ^ soc/core/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 38 0.32 soc/core/clknet_5_31_1_core_clk (net) + 0.71 0.03 5.71 ^ soc/core/clkbuf_leaf_187_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.16 5.86 ^ soc/core/clkbuf_leaf_187_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 9 0.03 soc/core/clknet_leaf_187_core_clk (net) + 0.06 0.00 5.87 ^ soc/core/_38295_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 6.12 clock uncertainty + -0.85 5.27 clock reconvergence pessimism + -0.03 5.23 library hold time + 5.23 data required time +----------------------------------------------------------------------------- + 5.23 data required time + -5.29 data arrival time +----------------------------------------------------------------------------- + 0.06 slack (MET) + + +Startpoint: soc/core/_38268_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_38268_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 1.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 2.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 2.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 2.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 2.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 2.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 2.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 2.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 2.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 2.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 3.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 3.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 3.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 3.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 3.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 3.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 3.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 3.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 3.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 3.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 3.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 3.80 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 3.91 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.04 0.00 3.91 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.99 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.03 0.00 3.99 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.12 4.11 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.10 0.01 4.12 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 4.25 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.08 0.00 4.25 ^ soc/core/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.34 ^ soc/core/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_31_0_core_clk (net) + 0.03 0.00 4.34 ^ soc/core/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.71 0.50 4.85 ^ soc/core/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 38 0.32 soc/core/clknet_5_31_1_core_clk (net) + 0.71 0.03 4.88 ^ soc/core/clkbuf_leaf_189_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.16 5.04 ^ soc/core/clkbuf_leaf_189_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.06 soc/core/clknet_leaf_189_core_clk (net) + 0.08 0.00 5.04 ^ soc/core/_38268_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.03 0.20 5.24 v soc/core/_38268_/Q (sky130_fd_sc_hd__dfxtp_1) + 3 0.01 soc/core/uart_phy_rx_phase[24] (net) + 0.03 0.00 5.24 v soc/core/_22261_/B1 (sky130_fd_sc_hd__a31oi_1) + 0.04 0.05 5.29 ^ soc/core/_22261_/Y (sky130_fd_sc_hd__a31oi_1) + 1 0.00 soc/core/_10780_ (net) + 0.04 0.00 5.29 ^ soc/core/_22263_/B (sky130_fd_sc_hd__nor3_1) + 0.02 0.03 5.32 v soc/core/_22263_/Y (sky130_fd_sc_hd__nor3_1) + 1 0.00 soc/core/_05734_ (net) + 0.02 0.00 5.32 v soc/core/_38268_/D (sky130_fd_sc_hd__dfxtp_1) + 5.32 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.13 3.68 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.68 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.78 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 3.78 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.87 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 3.87 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.96 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 3.96 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 4.06 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 4.06 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.17 4.23 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 4.24 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.36 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 4.37 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.16 4.52 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 4.52 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.64 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.04 0.00 4.64 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.73 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.03 0.00 4.73 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.14 4.87 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.10 0.01 4.87 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.15 5.02 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.08 0.00 5.02 ^ soc/core/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 5.12 ^ soc/core/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_31_0_core_clk (net) + 0.03 0.00 5.12 ^ soc/core/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.71 0.56 5.68 ^ soc/core/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 38 0.32 soc/core/clknet_5_31_1_core_clk (net) + 0.71 0.04 5.72 ^ soc/core/clkbuf_leaf_189_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.18 5.89 ^ soc/core/clkbuf_leaf_189_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.06 soc/core/clknet_leaf_189_core_clk (net) + 0.08 0.00 5.90 ^ soc/core/_38268_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 6.15 clock uncertainty + -0.85 5.29 clock reconvergence pessimism + -0.03 5.26 library hold time + 5.26 data required time +----------------------------------------------------------------------------- + 5.26 data required time + -5.32 data arrival time +----------------------------------------------------------------------------- + 0.06 slack (MET) + + +Startpoint: housekeeping/_9143_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9144_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 1.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 2.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 2.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 2.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 2.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 2.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 2.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 2.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 2.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 2.92 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 3.04 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.04 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.07 3.11 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.04 0.00 3.11 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.12 3.24 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.12 0.00 3.24 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.14 3.38 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.12 0.00 3.39 ^ housekeeping/clkbuf_3_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.23 3.61 ^ housekeeping/clkbuf_3_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 14 0.06 housekeeping/clknet_3_0_0_wb_clk_i (net) + 0.25 0.00 3.61 ^ housekeeping/_9143_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.05 0.25 3.86 ^ housekeeping/_9143_/Q (sky130_fd_sc_hd__dfrtp_1) + 2 0.01 housekeeping/serial_data_staging_2[5] (net) + 0.05 0.00 3.86 ^ housekeeping/_8885_/A1 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.94 ^ housekeeping/_8885_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 housekeeping/_0020_ (net) + 0.04 0.00 3.94 ^ housekeeping/_5889_/A2 (sky130_fd_sc_hd__o22a_2) + 0.02 0.06 4.01 ^ housekeeping/_5889_/X (sky130_fd_sc_hd__o22a_2) + 1 0.00 housekeeping/_0373_ (net) + 0.02 0.00 4.01 ^ housekeeping/_9144_/D (sky130_fd_sc_hd__dfrtp_1) + 4.01 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 3.68 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.68 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.08 3.76 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.04 0.00 3.77 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.13 3.90 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.12 0.00 3.90 ^ housekeeping/clkbuf_2_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.14 4.05 ^ housekeeping/clkbuf_2_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_1_0_wb_clk_i (net) + 0.11 0.00 4.05 ^ housekeeping/clkbuf_3_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.35 0.32 4.37 ^ housekeeping/clkbuf_3_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.08 housekeeping/clknet_3_3_0_wb_clk_i (net) + 0.35 0.01 4.37 ^ housekeeping/_9144_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 4.62 clock uncertainty + -0.66 3.96 clock reconvergence pessimism + -0.01 3.95 library hold time + 3.95 data required time +----------------------------------------------------------------------------- + 3.95 data required time + -4.01 data arrival time +----------------------------------------------------------------------------- + 0.06 slack (MET) + + +Startpoint: soc/core/_37589_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_37437_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 1.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 2.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 2.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 2.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 2.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 2.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 2.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 2.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 2.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 2.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 3.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.04 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.12 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 3.13 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.21 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 3.21 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.30 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 3.30 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.07 3.37 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 3.37 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.18 0.17 3.54 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.19 0.02 3.56 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 3.66 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_0_0_core_clk (net) + 0.03 0.00 3.66 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.27 3.93 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.15 soc/core/clknet_2_0_1_core_clk (net) + 0.35 0.03 3.96 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 4.08 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_0_core_clk (net) + 0.03 0.00 4.08 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.07 4.15 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_1_core_clk (net) + 0.02 0.00 4.15 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.10 4.25 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.02 soc/core/clknet_3_1_2_core_clk (net) + 0.06 0.00 4.25 ^ soc/core/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.11 4.36 ^ soc/core/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_3_0_core_clk (net) + 0.07 0.00 4.37 ^ soc/core/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.46 ^ soc/core/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_7_0_core_clk (net) + 0.03 0.00 4.46 ^ soc/core/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.39 0.31 4.77 ^ soc/core/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 22 0.18 soc/core/clknet_5_7_1_core_clk (net) + 0.39 0.01 4.78 ^ soc/core/clkbuf_leaf_381_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.16 4.93 ^ soc/core/clkbuf_leaf_381_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 28 0.08 soc/core/clknet_leaf_381_core_clk (net) + 0.08 0.01 4.94 ^ soc/core/_37589_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.18 0.30 5.24 v soc/core/_37589_/Q (sky130_fd_sc_hd__dfxtp_4) + 16 0.20 soc/core/VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[21] (net) + 0.18 0.02 5.25 v soc/core/_25464_/D (sky130_fd_sc_hd__and4_1) + 0.02 0.18 5.43 v soc/core/_25464_/X (sky130_fd_sc_hd__and4_1) + 1 0.00 soc/core/_13147_ (net) + 0.02 0.00 5.43 v soc/core/_25466_/A (sky130_fd_sc_hd__nand2_1) + 0.04 0.04 5.47 ^ soc/core/_25466_/Y (sky130_fd_sc_hd__nand2_1) + 1 0.00 soc/core/_13149_ (net) + 0.04 0.00 5.47 ^ soc/core/_25467_/B2 (sky130_fd_sc_hd__o2bb2ai_1) + 0.02 0.03 5.50 v soc/core/_25467_/Y (sky130_fd_sc_hd__o2bb2ai_1) + 1 0.00 soc/core/_04903_ (net) + 0.02 0.00 5.50 v soc/core/_37437_/D (sky130_fd_sc_hd__dfxtp_4) + 5.50 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.13 3.68 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.68 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.78 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 3.78 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.87 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 3.87 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.96 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 3.96 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 4.06 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 4.06 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.17 4.23 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 4.24 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 4.36 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.03 0.00 4.36 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 4.52 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.11 0.00 4.52 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.64 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.04 0.00 4.64 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.73 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.03 0.00 4.73 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.14 4.87 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_4_2_core_clk (net) + 0.11 0.01 4.88 ^ soc/core/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.15 5.03 ^ soc/core/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_9_0_core_clk (net) + 0.08 0.00 5.03 ^ soc/core/clkbuf_5_18_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 5.13 ^ soc/core/clkbuf_5_18_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_18_0_core_clk (net) + 0.03 0.00 5.13 ^ soc/core/clkbuf_5_18_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.59 0.45 5.59 ^ soc/core/clkbuf_5_18_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.26 soc/core/clknet_5_18_1_core_clk (net) + 0.61 0.09 5.67 ^ soc/core/clkbuf_leaf_372_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.18 5.85 ^ soc/core/clkbuf_leaf_372_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_372_core_clk (net) + 0.08 0.00 5.86 ^ soc/core/_37437_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.25 6.11 clock uncertainty + -0.64 5.46 clock reconvergence pessimism + -0.03 5.44 library hold time + 5.44 data required time +----------------------------------------------------------------------------- + 5.44 data required time + -5.50 data arrival time +----------------------------------------------------------------------------- + 0.06 slack (MET) + + +Startpoint: soc/core/_38302_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_38302_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 1.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 2.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 2.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 2.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 2.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 2.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 2.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 2.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 2.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 2.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 3.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 3.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 3.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 3.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 3.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 3.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 3.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 3.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 3.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 3.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 3.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 3.80 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 3.91 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.04 0.00 3.91 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.99 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.03 0.00 3.99 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.12 4.11 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.10 0.01 4.12 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 4.25 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.08 0.00 4.25 ^ soc/core/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.34 ^ soc/core/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_31_0_core_clk (net) + 0.03 0.00 4.34 ^ soc/core/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.71 0.50 4.85 ^ soc/core/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 38 0.32 soc/core/clknet_5_31_1_core_clk (net) + 0.71 0.02 4.87 ^ soc/core/clkbuf_leaf_188_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.07 0.16 5.02 ^ soc/core/clkbuf_leaf_188_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 22 0.06 soc/core/clknet_leaf_188_core_clk (net) + 0.07 0.00 5.02 ^ soc/core/_38302_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.03 0.20 5.22 v soc/core/_38302_/Q (sky130_fd_sc_hd__dfxtp_1) + 3 0.01 soc/core/uart_phy_tx_phase[31] (net) + 0.03 0.00 5.22 v soc/core/_22177_/B1 (sky130_fd_sc_hd__a41oi_1) + 0.05 0.05 5.27 ^ soc/core/_22177_/Y (sky130_fd_sc_hd__a41oi_1) + 1 0.00 soc/core/_10730_ (net) + 0.05 0.00 5.27 ^ soc/core/_22179_/B (sky130_fd_sc_hd__nor3_1) + 0.02 0.03 5.30 v soc/core/_22179_/Y (sky130_fd_sc_hd__nor3_1) + 1 0.00 soc/core/_05768_ (net) + 0.02 0.00 5.30 v soc/core/_38302_/D (sky130_fd_sc_hd__dfxtp_1) + 5.30 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.13 3.68 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.68 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.78 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 3.78 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.87 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 3.87 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.96 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 3.96 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 4.06 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 4.06 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.17 4.23 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 4.24 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.36 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 4.37 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.16 4.52 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 4.52 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.64 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.04 0.00 4.64 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.73 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.03 0.00 4.73 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.14 4.87 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.10 0.01 4.87 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.15 5.02 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.08 0.00 5.02 ^ soc/core/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 5.12 ^ soc/core/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_31_0_core_clk (net) + 0.03 0.00 5.12 ^ soc/core/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.71 0.56 5.68 ^ soc/core/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 38 0.32 soc/core/clknet_5_31_1_core_clk (net) + 0.71 0.02 5.70 ^ soc/core/clkbuf_leaf_188_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.07 0.17 5.87 ^ soc/core/clkbuf_leaf_188_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 22 0.06 soc/core/clknet_leaf_188_core_clk (net) + 0.07 0.00 5.87 ^ soc/core/_38302_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 6.12 clock uncertainty + -0.85 5.27 clock reconvergence pessimism + -0.03 5.24 library hold time + 5.24 data required time +----------------------------------------------------------------------------- + 5.24 data required time + -5.30 data arrival time +----------------------------------------------------------------------------- + 0.06 slack (MET) + + +Startpoint: soc/core/_37572_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_37213_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 1.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 2.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 2.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 2.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 2.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 2.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 2.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 2.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 2.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 2.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 3.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.04 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.12 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 3.13 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.21 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 3.21 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.30 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 3.30 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.07 3.37 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 3.37 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.18 0.17 3.54 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.19 0.02 3.56 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 3.66 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_0_0_core_clk (net) + 0.03 0.00 3.66 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.27 3.93 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.15 soc/core/clknet_2_0_1_core_clk (net) + 0.35 0.03 3.96 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 4.08 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_0_core_clk (net) + 0.03 0.00 4.08 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.07 4.15 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_1_core_clk (net) + 0.02 0.00 4.15 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.10 4.25 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.02 soc/core/clknet_3_1_2_core_clk (net) + 0.06 0.00 4.25 ^ soc/core/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.11 4.36 ^ soc/core/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_3_0_core_clk (net) + 0.07 0.00 4.37 ^ soc/core/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.46 ^ soc/core/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_7_0_core_clk (net) + 0.03 0.00 4.46 ^ soc/core/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.39 0.31 4.77 ^ soc/core/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 22 0.18 soc/core/clknet_5_7_1_core_clk (net) + 0.39 0.00 4.77 ^ soc/core/clkbuf_leaf_383_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.16 4.93 ^ soc/core/clkbuf_leaf_383_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 32 0.08 soc/core/clknet_leaf_383_core_clk (net) + 0.08 0.00 4.93 ^ soc/core/_37572_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.15 0.29 5.23 v soc/core/_37572_/Q (sky130_fd_sc_hd__dfxtp_4) + 34 0.16 soc/core/VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[4] (net) + 0.17 0.04 5.26 v soc/core/_26168_/A1 (sky130_fd_sc_hd__o21ai_1) + 0.05 0.13 5.39 ^ soc/core/_26168_/Y (sky130_fd_sc_hd__o21ai_1) + 1 0.00 soc/core/_13624_ (net) + 0.05 0.00 5.39 ^ soc/core/_26169_/A1 (sky130_fd_sc_hd__mux2_1) + 0.03 0.07 5.46 ^ soc/core/_26169_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/_13625_ (net) + 0.03 0.00 5.46 ^ soc/core/_26170_/A (sky130_fd_sc_hd__clkbuf_1) + 0.03 0.04 5.51 ^ soc/core/_26170_/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/_04679_ (net) + 0.03 0.00 5.51 ^ soc/core/_37213_/D (sky130_fd_sc_hd__dfxtp_4) + 5.51 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.13 3.68 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.68 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.78 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 3.78 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.87 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 3.87 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.96 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 3.96 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 4.06 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 4.06 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.17 4.23 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 4.24 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 4.36 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.03 0.00 4.36 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 4.52 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.11 0.00 4.52 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.64 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.04 0.00 4.64 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.73 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.03 0.00 4.73 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.14 4.87 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_4_2_core_clk (net) + 0.11 0.01 4.88 ^ soc/core/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.15 5.03 ^ soc/core/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_9_0_core_clk (net) + 0.08 0.00 5.03 ^ soc/core/clkbuf_5_18_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 5.13 ^ soc/core/clkbuf_5_18_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_18_0_core_clk (net) + 0.03 0.00 5.13 ^ soc/core/clkbuf_5_18_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.59 0.45 5.59 ^ soc/core/clkbuf_5_18_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.26 soc/core/clknet_5_18_1_core_clk (net) + 0.61 0.09 5.67 ^ soc/core/clkbuf_leaf_371_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.18 5.85 ^ soc/core/clkbuf_leaf_371_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_371_core_clk (net) + 0.08 0.00 5.85 ^ soc/core/_37213_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.25 6.10 clock uncertainty + -0.64 5.46 clock reconvergence pessimism + -0.01 5.45 library hold time + 5.45 data required time +----------------------------------------------------------------------------- + 5.45 data required time + -5.51 data arrival time +----------------------------------------------------------------------------- + 0.06 slack (MET) + + +Startpoint: housekeeping/_9019_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9019_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 1.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 2.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 2.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 2.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 2.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 2.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 2.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 2.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 2.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 2.92 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 3.04 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.04 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.07 3.11 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 3.11 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.13 3.24 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 3.24 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.13 3.37 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.10 0.00 3.38 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.21 0.19 3.57 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 12 0.05 housekeeping/clknet_3_5_0_wb_clk_i (net) + 0.21 0.00 3.57 ^ housekeeping/_9019_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.06 0.23 3.81 ^ housekeeping/_9019_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.01 housekeeping/net333 (net) + 0.06 0.00 3.81 ^ housekeeping/_6977_/B1 (sky130_fd_sc_hd__o22a_1) + 0.03 0.06 3.87 ^ housekeeping/_6977_/X (sky130_fd_sc_hd__o22a_1) + 1 0.00 housekeeping/_0269_ (net) + 0.03 0.00 3.87 ^ housekeeping/_9019_/D (sky130_fd_sc_hd__dfxtp_1) + 3.87 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 3.68 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.69 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.08 3.76 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 3.76 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.14 3.91 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 3.91 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.14 4.05 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.10 0.00 4.05 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.21 0.21 4.27 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 12 0.05 housekeeping/clknet_3_5_0_wb_clk_i (net) + 0.21 0.00 4.27 ^ housekeeping/_9019_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 4.52 clock uncertainty + -0.70 3.82 clock reconvergence pessimism + -0.01 3.81 library hold time + 3.81 data required time +----------------------------------------------------------------------------- + 3.81 data required time + -3.87 data arrival time +----------------------------------------------------------------------------- + 0.06 slack (MET) + + +Startpoint: soc/core/_38272_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_38272_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 1.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 2.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 2.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 2.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 2.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 2.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 2.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 2.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 2.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 2.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 3.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 3.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 3.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 3.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 3.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 3.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 3.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 3.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 3.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 3.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 3.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 3.80 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 3.91 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.04 0.00 3.91 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.99 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.03 0.00 3.99 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.12 4.11 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.10 0.01 4.12 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 4.25 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.08 0.00 4.25 ^ soc/core/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.34 ^ soc/core/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_31_0_core_clk (net) + 0.03 0.00 4.34 ^ soc/core/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.71 0.50 4.85 ^ soc/core/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 38 0.32 soc/core/clknet_5_31_1_core_clk (net) + 0.71 0.03 4.88 ^ soc/core/clkbuf_leaf_189_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.16 5.04 ^ soc/core/clkbuf_leaf_189_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.06 soc/core/clknet_leaf_189_core_clk (net) + 0.08 0.00 5.04 ^ soc/core/_38272_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.03 0.20 5.24 v soc/core/_38272_/Q (sky130_fd_sc_hd__dfxtp_1) + 3 0.01 soc/core/uart_phy_rx_phase[28] (net) + 0.03 0.00 5.24 v soc/core/_22252_/B1 (sky130_fd_sc_hd__a31oi_1) + 0.05 0.05 5.29 ^ soc/core/_22252_/Y (sky130_fd_sc_hd__a31oi_1) + 1 0.00 soc/core/_10775_ (net) + 0.05 0.00 5.29 ^ soc/core/_22254_/B (sky130_fd_sc_hd__nor3_1) + 0.02 0.03 5.32 v soc/core/_22254_/Y (sky130_fd_sc_hd__nor3_1) + 1 0.00 soc/core/_05738_ (net) + 0.02 0.00 5.32 v soc/core/_38272_/D (sky130_fd_sc_hd__dfxtp_1) + 5.32 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.13 3.68 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.68 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.78 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 3.78 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.87 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 3.87 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.96 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 3.96 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 4.06 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 4.06 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.17 4.23 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 4.24 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.36 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 4.37 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.16 4.52 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 4.52 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.64 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.04 0.00 4.64 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.73 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.03 0.00 4.73 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.14 4.87 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.10 0.01 4.87 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.15 5.02 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.08 0.00 5.02 ^ soc/core/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 5.12 ^ soc/core/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_31_0_core_clk (net) + 0.03 0.00 5.12 ^ soc/core/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.71 0.56 5.68 ^ soc/core/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 38 0.32 soc/core/clknet_5_31_1_core_clk (net) + 0.71 0.04 5.72 ^ soc/core/clkbuf_leaf_189_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.18 5.89 ^ soc/core/clkbuf_leaf_189_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.06 soc/core/clknet_leaf_189_core_clk (net) + 0.08 0.00 5.89 ^ soc/core/_38272_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 6.14 clock uncertainty + -0.85 5.29 clock reconvergence pessimism + -0.03 5.26 library hold time + 5.26 data required time +----------------------------------------------------------------------------- + 5.26 data required time + -5.32 data arrival time +----------------------------------------------------------------------------- + 0.06 slack (MET) + + +Startpoint: soc/core/_38711_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_39053_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 1.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 2.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 2.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 2.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 2.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 2.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 2.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 2.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 2.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 2.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 3.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 3.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 3.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 3.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 3.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 3.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 3.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 3.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 3.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 3.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 3.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 3.80 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 3.91 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.04 0.00 3.91 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.99 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.03 0.00 3.99 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.12 4.11 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.09 0.00 4.12 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 4.25 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.08 0.00 4.25 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.34 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.03 0.00 4.34 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.64 0.47 4.80 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.64 0.01 4.81 ^ soc/core/clkbuf_leaf_128_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.07 0.16 4.97 ^ soc/core/clkbuf_leaf_128_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 20 0.06 soc/core/clknet_leaf_128_core_clk (net) + 0.07 0.00 4.97 ^ soc/core/_38711_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.12 0.25 5.22 ^ soc/core/_38711_/Q (sky130_fd_sc_hd__dfxtp_1) + 3 0.02 soc/core/mgmtsoc_vexriscv_transfer_wait_for_ack (net) + 0.12 0.00 5.22 ^ soc/core/_19657_/B (sky130_fd_sc_hd__nor3_4) + 0.07 0.08 5.29 v soc/core/_19657_/Y (sky130_fd_sc_hd__nor3_4) + 10 0.06 soc/core/_09099_ (net) + 0.08 0.01 5.30 v soc/core/_19688_/A (sky130_fd_sc_hd__buf_2) + 0.05 0.12 5.42 v soc/core/_19688_/X (sky130_fd_sc_hd__buf_2) + 8 0.03 soc/core/_09120_ (net) + 0.05 0.00 5.42 v soc/core/_19691_/C (sky130_fd_sc_hd__nand3b_1) + 0.04 0.06 5.48 ^ soc/core/_19691_/Y (sky130_fd_sc_hd__nand3b_1) + 1 0.00 soc/core/_09122_ (net) + 0.04 0.00 5.48 ^ soc/core/_19692_/C1 (sky130_fd_sc_hd__o211a_1) + 0.02 0.06 5.54 ^ soc/core/_19692_/X (sky130_fd_sc_hd__o211a_1) + 1 0.00 soc/core/_06519_ (net) + 0.02 0.00 5.54 ^ soc/core/_39053_/D (sky130_fd_sc_hd__dfxtp_1) + 5.54 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.13 3.68 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.68 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.78 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 3.78 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.10 3.87 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 3.87 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.97 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 3.97 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 4.05 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 4.05 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.18 0.18 4.23 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.19 0.03 4.26 ^ soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 4.37 ^ soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 4.37 ^ soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 4.52 ^ soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.11 0.00 4.53 ^ soc/core/clkbuf_3_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.65 ^ soc/core/clkbuf_3_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_3_0_core_clk (net) + 0.04 0.00 4.65 ^ soc/core/clkbuf_3_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.74 ^ soc/core/clkbuf_3_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_3_1_core_clk (net) + 0.03 0.00 4.74 ^ soc/core/clkbuf_3_3_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 4.89 ^ soc/core/clkbuf_3_3_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_3_3_2_core_clk (net) + 0.11 0.01 4.89 ^ soc/core/clkbuf_4_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.16 5.05 ^ soc/core/clkbuf_4_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_4_6_0_core_clk (net) + 0.09 0.00 5.06 ^ soc/core/clkbuf_5_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 5.16 ^ soc/core/clkbuf_5_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_13_0_core_clk (net) + 0.03 0.00 5.16 ^ soc/core/clkbuf_5_13_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.61 0.48 5.64 ^ soc/core/clkbuf_5_13_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.27 soc/core/clknet_5_13_1_core_clk (net) + 0.61 0.05 5.69 ^ soc/core/clkbuf_leaf_124_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.09 0.19 5.89 ^ soc/core/clkbuf_leaf_124_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 36 0.09 soc/core/clknet_leaf_124_core_clk (net) + 0.09 0.00 5.89 ^ soc/core/_39053_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 6.14 clock uncertainty + -0.64 5.50 clock reconvergence pessimism + -0.02 5.48 library hold time + 5.48 data required time +----------------------------------------------------------------------------- + 5.48 data required time + -5.54 data arrival time +----------------------------------------------------------------------------- + 0.06 slack (MET) + + +Startpoint: housekeeping/_9172_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9172_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 1.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 2.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 2.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 2.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 2.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 2.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 2.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 2.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 2.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 2.92 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 3.04 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.04 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.07 3.11 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.04 0.00 3.11 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.12 3.24 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.12 0.00 3.24 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.14 3.38 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.12 0.00 3.39 ^ housekeeping/clkbuf_3_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.23 3.61 ^ housekeeping/clkbuf_3_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 14 0.06 housekeeping/clknet_3_0_0_wb_clk_i (net) + 0.25 0.00 3.61 ^ housekeeping/_9172_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.05 0.24 3.86 ^ housekeeping/_9172_/Q (sky130_fd_sc_hd__dfrtp_1) + 2 0.01 housekeeping/serial_data_staging_1[8] (net) + 0.05 0.00 3.86 ^ housekeeping/_5854_/B2 (sky130_fd_sc_hd__o22a_2) + 0.02 0.06 3.91 ^ housekeeping/_5854_/X (sky130_fd_sc_hd__o22a_2) + 1 0.00 housekeeping/_0401_ (net) + 0.02 0.00 3.91 ^ housekeeping/_9172_/D (sky130_fd_sc_hd__dfrtp_1) + 3.91 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 3.68 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.68 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.08 3.76 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.04 0.00 3.77 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.13 3.90 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.12 0.00 3.90 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.16 4.06 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.12 0.00 4.06 ^ housekeeping/clkbuf_3_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.25 4.31 ^ housekeeping/clkbuf_3_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 14 0.06 housekeeping/clknet_3_0_0_wb_clk_i (net) + 0.25 0.00 4.32 ^ housekeeping/_9172_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 4.57 clock uncertainty + -0.70 3.86 clock reconvergence pessimism + -0.01 3.85 library hold time + 3.85 data required time +----------------------------------------------------------------------------- + 3.85 data required time + -3.91 data arrival time +----------------------------------------------------------------------------- + 0.06 slack (MET) + + +Startpoint: housekeeping/_9012_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9012_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 1.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 2.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 2.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 2.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 2.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 2.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 2.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 2.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 2.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 2.92 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 3.04 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.04 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.07 3.11 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 3.11 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.13 3.24 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 3.24 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.13 3.37 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.10 0.00 3.38 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.17 3.55 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net) + 0.18 0.00 3.55 ^ housekeeping/_9012_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.07 0.23 3.78 ^ housekeeping/_9012_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.01 housekeeping/net357 (net) + 0.07 0.00 3.79 ^ housekeeping/_6984_/B1 (sky130_fd_sc_hd__o22a_1) + 0.03 0.07 3.85 ^ housekeeping/_6984_/X (sky130_fd_sc_hd__o22a_1) + 1 0.00 housekeeping/_0262_ (net) + 0.03 0.00 3.85 ^ housekeeping/_9012_/D (sky130_fd_sc_hd__dfxtp_1) + 3.85 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 3.68 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.69 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.08 3.76 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 3.76 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.14 3.91 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 3.91 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.14 4.05 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.10 0.00 4.05 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.19 4.24 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net) + 0.18 0.00 4.25 ^ housekeeping/_9012_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 4.50 clock uncertainty + -0.70 3.80 clock reconvergence pessimism + -0.01 3.79 library hold time + 3.79 data required time +----------------------------------------------------------------------------- + 3.79 data required time + -3.85 data arrival time +----------------------------------------------------------------------------- + 0.06 slack (MET) + + +Startpoint: soc/core/_38711_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_39050_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 1.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 2.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 2.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 2.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 2.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 2.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 2.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 2.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 2.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 2.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 3.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 3.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 3.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 3.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 3.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 3.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 3.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 3.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 3.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 3.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 3.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 3.80 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 3.91 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.04 0.00 3.91 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.99 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.03 0.00 3.99 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.12 4.11 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.09 0.00 4.12 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 4.25 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.08 0.00 4.25 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.34 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.03 0.00 4.34 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.64 0.47 4.80 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.64 0.01 4.81 ^ soc/core/clkbuf_leaf_128_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.07 0.16 4.97 ^ soc/core/clkbuf_leaf_128_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 20 0.06 soc/core/clknet_leaf_128_core_clk (net) + 0.07 0.00 4.97 ^ soc/core/_38711_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.12 0.25 5.22 ^ soc/core/_38711_/Q (sky130_fd_sc_hd__dfxtp_1) + 3 0.02 soc/core/mgmtsoc_vexriscv_transfer_wait_for_ack (net) + 0.12 0.00 5.22 ^ soc/core/_19657_/B (sky130_fd_sc_hd__nor3_4) + 0.07 0.08 5.29 v soc/core/_19657_/Y (sky130_fd_sc_hd__nor3_4) + 10 0.06 soc/core/_09099_ (net) + 0.08 0.01 5.30 v soc/core/_19688_/A (sky130_fd_sc_hd__buf_2) + 0.05 0.12 5.42 v soc/core/_19688_/X (sky130_fd_sc_hd__buf_2) + 8 0.03 soc/core/_09120_ (net) + 0.05 0.00 5.42 v soc/core/_19698_/C (sky130_fd_sc_hd__nand3b_1) + 0.04 0.06 5.49 ^ soc/core/_19698_/Y (sky130_fd_sc_hd__nand3b_1) + 1 0.00 soc/core/_09126_ (net) + 0.04 0.00 5.49 ^ soc/core/_19699_/C1 (sky130_fd_sc_hd__o211a_1) + 0.02 0.06 5.54 ^ soc/core/_19699_/X (sky130_fd_sc_hd__o211a_1) + 1 0.00 soc/core/_06516_ (net) + 0.02 0.00 5.54 ^ soc/core/_39050_/D (sky130_fd_sc_hd__dfxtp_4) + 5.54 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.13 3.68 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.68 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.78 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 3.78 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.10 3.87 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 3.87 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.97 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 3.97 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 4.05 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 4.05 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.18 0.18 4.23 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.19 0.03 4.26 ^ soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 4.37 ^ soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 4.37 ^ soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 4.52 ^ soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.11 0.00 4.53 ^ soc/core/clkbuf_3_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.65 ^ soc/core/clkbuf_3_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_3_0_core_clk (net) + 0.04 0.00 4.65 ^ soc/core/clkbuf_3_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.74 ^ soc/core/clkbuf_3_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_3_1_core_clk (net) + 0.03 0.00 4.74 ^ soc/core/clkbuf_3_3_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 4.89 ^ soc/core/clkbuf_3_3_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_3_3_2_core_clk (net) + 0.11 0.01 4.89 ^ soc/core/clkbuf_4_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.16 5.05 ^ soc/core/clkbuf_4_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_4_6_0_core_clk (net) + 0.09 0.00 5.06 ^ soc/core/clkbuf_5_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 5.16 ^ soc/core/clkbuf_5_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_13_0_core_clk (net) + 0.03 0.00 5.16 ^ soc/core/clkbuf_5_13_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.61 0.48 5.64 ^ soc/core/clkbuf_5_13_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.27 soc/core/clknet_5_13_1_core_clk (net) + 0.61 0.05 5.69 ^ soc/core/clkbuf_leaf_124_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.09 0.19 5.89 ^ soc/core/clkbuf_leaf_124_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 36 0.09 soc/core/clknet_leaf_124_core_clk (net) + 0.09 0.00 5.89 ^ soc/core/_39050_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.25 6.14 clock uncertainty + -0.64 5.50 clock reconvergence pessimism + -0.01 5.48 library hold time + 5.48 data required time +----------------------------------------------------------------------------- + 5.48 data required time + -5.54 data arrival time +----------------------------------------------------------------------------- + 0.06 slack (MET) + + +Startpoint: soc/core/_35257_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_37714_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 1.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 2.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 2.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 2.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 2.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 2.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 2.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 2.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 2.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 2.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 3.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.04 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.12 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 3.13 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.21 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 3.21 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.30 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 3.30 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.07 3.37 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 3.37 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.18 0.17 3.54 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.19 0.02 3.56 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 3.66 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_0_0_core_clk (net) + 0.03 0.00 3.66 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.35 0.27 3.93 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.15 soc/core/clknet_2_0_1_core_clk (net) + 0.35 0.03 3.96 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 4.08 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_0_core_clk (net) + 0.03 0.00 4.08 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.07 4.15 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_1_core_clk (net) + 0.02 0.00 4.15 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.10 4.25 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.02 soc/core/clknet_3_1_2_core_clk (net) + 0.06 0.00 4.25 ^ soc/core/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.11 4.36 ^ soc/core/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.02 soc/core/clknet_4_2_0_core_clk (net) + 0.06 0.00 4.36 ^ soc/core/clkbuf_5_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 4.44 ^ soc/core/clkbuf_5_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_4_0_core_clk (net) + 0.02 0.00 4.44 ^ soc/core/clkbuf_5_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.37 0.29 4.74 ^ soc/core/clkbuf_5_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 20 0.17 soc/core/clknet_5_4_1_core_clk (net) + 0.37 0.01 4.75 ^ soc/core/clkbuf_leaf_395_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.16 4.91 ^ soc/core/clkbuf_leaf_395_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 36 0.08 soc/core/clknet_leaf_395_core_clk (net) + 0.08 0.00 4.91 ^ soc/core/_35257_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.11 0.27 5.18 v soc/core/_35257_/Q (sky130_fd_sc_hd__dfxtp_4) + 16 0.11 soc/core/VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[3] (net) + 0.12 0.03 5.21 v soc/core/_24749_/C (sky130_fd_sc_hd__nand3b_2) + 0.08 0.12 5.33 ^ soc/core/_24749_/Y (sky130_fd_sc_hd__nand3b_2) + 2 0.02 soc/core/_12723_ (net) + 0.08 0.00 5.33 ^ soc/core/_24750_/B (sky130_fd_sc_hd__nor2_4) + 0.04 0.04 5.37 v soc/core/_24750_/Y (sky130_fd_sc_hd__nor2_4) + 4 0.03 soc/core/_12724_ (net) + 0.04 0.00 5.38 v soc/core/_24780_/C1 (sky130_fd_sc_hd__o2111ai_1) + 0.05 0.07 5.44 ^ soc/core/_24780_/Y (sky130_fd_sc_hd__o2111ai_1) + 1 0.00 soc/core/_12741_ (net) + 0.05 0.00 5.44 ^ soc/core/_24781_/B1 (sky130_fd_sc_hd__o21a_1) + 0.02 0.05 5.49 ^ soc/core/_24781_/X (sky130_fd_sc_hd__o21a_1) + 1 0.00 soc/core/_05180_ (net) + 0.02 0.00 5.49 ^ soc/core/_37714_/D (sky130_fd_sc_hd__dfxtp_1) + 5.49 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.13 3.68 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.68 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.78 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 3.78 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.87 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 3.87 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.96 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 3.96 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 4.06 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 4.06 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.17 4.23 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 4.24 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 4.36 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.03 0.00 4.36 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 4.52 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.11 0.00 4.52 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.64 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.04 0.00 4.64 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.73 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.03 0.00 4.73 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.14 4.87 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_4_2_core_clk (net) + 0.11 0.01 4.88 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 5.02 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_8_0_core_clk (net) + 0.07 0.00 5.03 ^ soc/core/clkbuf_5_16_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 5.12 ^ soc/core/clkbuf_5_16_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_16_0_core_clk (net) + 0.03 0.00 5.12 ^ soc/core/clkbuf_5_16_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.64 0.52 5.65 ^ soc/core/clkbuf_5_16_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 36 0.29 soc/core/clknet_5_16_1_core_clk (net) + 0.64 0.01 5.66 ^ soc/core/clkbuf_leaf_353_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.07 0.17 5.83 ^ soc/core/clkbuf_leaf_353_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 20 0.06 soc/core/clknet_leaf_353_core_clk (net) + 0.07 0.00 5.84 ^ soc/core/_37714_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 6.09 clock uncertainty + -0.64 5.44 clock reconvergence pessimism + -0.02 5.43 library hold time + 5.43 data required time +----------------------------------------------------------------------------- + 5.43 data required time + -5.49 data arrival time +----------------------------------------------------------------------------- + 0.06 slack (MET) + + +Startpoint: housekeeping/_9148_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9148_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 1.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 2.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 2.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 2.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 2.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 2.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 2.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 2.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 2.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 2.92 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 3.04 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.04 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.07 3.11 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.04 0.00 3.11 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.12 3.24 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.12 0.00 3.24 ^ housekeeping/clkbuf_2_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.13 3.37 ^ housekeeping/clkbuf_2_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_1_0_wb_clk_i (net) + 0.11 0.00 3.37 ^ housekeeping/clkbuf_3_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.23 3.60 ^ housekeeping/clkbuf_3_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 14 0.06 housekeeping/clknet_3_2_0_wb_clk_i (net) + 0.27 0.01 3.61 ^ housekeeping/_9148_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.05 0.25 3.86 ^ housekeeping/_9148_/Q (sky130_fd_sc_hd__dfrtp_1) + 2 0.01 housekeeping/serial_data_staging_2[10] (net) + 0.05 0.00 3.86 ^ housekeeping/_5885_/B2 (sky130_fd_sc_hd__o22a_2) + 0.02 0.06 3.91 ^ housekeeping/_5885_/X (sky130_fd_sc_hd__o22a_2) + 1 0.00 housekeeping/_0377_ (net) + 0.02 0.00 3.91 ^ housekeeping/_9148_/D (sky130_fd_sc_hd__dfrtp_1) + 3.91 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 3.68 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.68 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.08 3.76 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.04 0.00 3.77 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.13 3.90 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.12 0.00 3.90 ^ housekeeping/clkbuf_2_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.14 4.05 ^ housekeeping/clkbuf_2_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_1_0_wb_clk_i (net) + 0.11 0.00 4.05 ^ housekeeping/clkbuf_3_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.25 4.30 ^ housekeeping/clkbuf_3_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 14 0.06 housekeeping/clknet_3_2_0_wb_clk_i (net) + 0.27 0.01 4.31 ^ housekeeping/_9148_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 4.56 clock uncertainty + -0.70 3.86 clock reconvergence pessimism + -0.01 3.85 library hold time + 3.85 data required time +----------------------------------------------------------------------------- + 3.85 data required time + -3.91 data arrival time +----------------------------------------------------------------------------- + 0.06 slack (MET) + + +Startpoint: housekeeping/_9023_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9023_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 1.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 2.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 2.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 2.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 2.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 2.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 2.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 2.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 2.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 2.92 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 3.04 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.04 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.07 3.11 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 3.11 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.13 3.24 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 3.24 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.13 3.37 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.10 0.00 3.38 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.17 3.55 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net) + 0.18 0.00 3.55 ^ housekeeping/_9023_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.07 0.24 3.79 ^ housekeeping/_9023_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.01 housekeeping/net352 (net) + 0.07 0.00 3.79 ^ housekeeping/_6969_/B1 (sky130_fd_sc_hd__o22a_1) + 0.02 0.07 3.85 ^ housekeeping/_6969_/X (sky130_fd_sc_hd__o22a_1) + 1 0.00 housekeeping/_0273_ (net) + 0.02 0.00 3.85 ^ housekeeping/_9023_/D (sky130_fd_sc_hd__dfxtp_1) + 3.85 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 3.68 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.69 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.08 3.76 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 3.76 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.14 3.91 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 3.91 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.14 4.05 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.10 0.00 4.05 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.19 4.24 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net) + 0.18 0.00 4.25 ^ housekeeping/_9023_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 4.50 clock uncertainty + -0.70 3.80 clock reconvergence pessimism + -0.01 3.79 library hold time + 3.79 data required time +----------------------------------------------------------------------------- + 3.79 data required time + -3.85 data arrival time +----------------------------------------------------------------------------- + 0.06 slack (MET) + + +Startpoint: soc/core/_38711_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_39051_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 1.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 2.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 2.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 2.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 2.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 2.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 2.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 2.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 2.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 2.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 3.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 3.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 3.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 3.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 3.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 3.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 3.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 3.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 3.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 3.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 3.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 3.80 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 3.91 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.04 0.00 3.91 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.99 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.03 0.00 3.99 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.12 4.11 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.09 0.00 4.12 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 4.25 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.08 0.00 4.25 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.34 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.03 0.00 4.34 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.64 0.47 4.80 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.64 0.01 4.81 ^ soc/core/clkbuf_leaf_128_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.07 0.16 4.97 ^ soc/core/clkbuf_leaf_128_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 20 0.06 soc/core/clknet_leaf_128_core_clk (net) + 0.07 0.00 4.97 ^ soc/core/_38711_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.12 0.25 5.22 ^ soc/core/_38711_/Q (sky130_fd_sc_hd__dfxtp_1) + 3 0.02 soc/core/mgmtsoc_vexriscv_transfer_wait_for_ack (net) + 0.12 0.00 5.22 ^ soc/core/_19657_/B (sky130_fd_sc_hd__nor3_4) + 0.07 0.08 5.29 v soc/core/_19657_/Y (sky130_fd_sc_hd__nor3_4) + 10 0.06 soc/core/_09099_ (net) + 0.08 0.01 5.30 v soc/core/_19688_/A (sky130_fd_sc_hd__buf_2) + 0.05 0.12 5.42 v soc/core/_19688_/X (sky130_fd_sc_hd__buf_2) + 8 0.03 soc/core/_09120_ (net) + 0.05 0.00 5.42 v soc/core/_19696_/C (sky130_fd_sc_hd__nand3b_1) + 0.04 0.06 5.48 ^ soc/core/_19696_/Y (sky130_fd_sc_hd__nand3b_1) + 1 0.00 soc/core/_09125_ (net) + 0.04 0.00 5.48 ^ soc/core/_19697_/C1 (sky130_fd_sc_hd__o211a_1) + 0.03 0.06 5.54 ^ soc/core/_19697_/X (sky130_fd_sc_hd__o211a_1) + 1 0.00 soc/core/_06517_ (net) + 0.03 0.00 5.54 ^ soc/core/_39051_/D (sky130_fd_sc_hd__dfxtp_2) + 5.54 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.13 3.68 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.68 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.78 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 3.78 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.10 3.87 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 3.87 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.97 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 3.97 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 4.05 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 4.05 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.18 0.18 4.23 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.19 0.03 4.26 ^ soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 4.37 ^ soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 4.37 ^ soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 4.52 ^ soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.11 0.00 4.53 ^ soc/core/clkbuf_3_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.65 ^ soc/core/clkbuf_3_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_3_0_core_clk (net) + 0.04 0.00 4.65 ^ soc/core/clkbuf_3_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.74 ^ soc/core/clkbuf_3_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_3_1_core_clk (net) + 0.03 0.00 4.74 ^ soc/core/clkbuf_3_3_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 4.89 ^ soc/core/clkbuf_3_3_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_3_3_2_core_clk (net) + 0.11 0.01 4.89 ^ soc/core/clkbuf_4_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.16 5.05 ^ soc/core/clkbuf_4_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_4_6_0_core_clk (net) + 0.09 0.00 5.06 ^ soc/core/clkbuf_5_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 5.16 ^ soc/core/clkbuf_5_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_13_0_core_clk (net) + 0.03 0.00 5.16 ^ soc/core/clkbuf_5_13_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.61 0.48 5.64 ^ soc/core/clkbuf_5_13_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.27 soc/core/clknet_5_13_1_core_clk (net) + 0.61 0.05 5.69 ^ soc/core/clkbuf_leaf_124_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.09 0.19 5.89 ^ soc/core/clkbuf_leaf_124_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 36 0.09 soc/core/clknet_leaf_124_core_clk (net) + 0.09 0.00 5.89 ^ soc/core/_39051_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.25 6.14 clock uncertainty + -0.64 5.50 clock reconvergence pessimism + -0.02 5.48 library hold time + 5.48 data required time +----------------------------------------------------------------------------- + 5.48 data required time + -5.54 data arrival time +----------------------------------------------------------------------------- + 0.06 slack (MET) + + +Startpoint: housekeeping/_9145_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9145_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 1.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 2.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 2.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 2.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 2.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 2.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 2.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 2.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 2.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 2.92 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 3.04 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.04 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.07 3.11 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.04 0.00 3.11 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.12 3.24 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.12 0.00 3.24 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.14 3.38 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.12 0.00 3.39 ^ housekeeping/clkbuf_3_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.23 3.61 ^ housekeeping/clkbuf_3_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 14 0.06 housekeeping/clknet_3_0_0_wb_clk_i (net) + 0.25 0.00 3.61 ^ housekeeping/_9145_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.05 0.24 3.86 ^ housekeeping/_9145_/Q (sky130_fd_sc_hd__dfrtp_1) + 2 0.01 housekeeping/serial_data_staging_2[7] (net) + 0.05 0.00 3.86 ^ housekeeping/_5888_/B2 (sky130_fd_sc_hd__o22a_2) + 0.02 0.06 3.92 ^ housekeeping/_5888_/X (sky130_fd_sc_hd__o22a_2) + 1 0.00 housekeeping/_0374_ (net) + 0.02 0.00 3.92 ^ housekeeping/_9145_/D (sky130_fd_sc_hd__dfrtp_1) + 3.92 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 3.68 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.68 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.08 3.76 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.04 0.00 3.77 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.13 3.90 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.12 0.00 3.90 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.16 4.06 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.12 0.00 4.06 ^ housekeeping/clkbuf_3_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.25 4.31 ^ housekeeping/clkbuf_3_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 14 0.06 housekeeping/clknet_3_0_0_wb_clk_i (net) + 0.25 0.00 4.32 ^ housekeeping/_9145_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 4.57 clock uncertainty + -0.70 3.86 clock reconvergence pessimism + -0.01 3.85 library hold time + 3.85 data required time +----------------------------------------------------------------------------- + 3.85 data required time + -3.92 data arrival time +----------------------------------------------------------------------------- + 0.06 slack (MET) + + +Startpoint: soc/core/_38711_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_39048_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 1.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 2.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 2.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 2.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 2.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 2.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 2.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 2.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 2.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 2.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 3.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 3.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 3.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 3.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 3.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 3.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 3.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 3.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 3.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 3.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 3.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 3.80 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 3.91 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.04 0.00 3.91 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.99 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.03 0.00 3.99 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.12 4.11 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.09 0.00 4.12 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 4.25 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.08 0.00 4.25 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.34 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.03 0.00 4.34 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.64 0.47 4.80 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.64 0.01 4.81 ^ soc/core/clkbuf_leaf_128_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.07 0.16 4.97 ^ soc/core/clkbuf_leaf_128_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 20 0.06 soc/core/clknet_leaf_128_core_clk (net) + 0.07 0.00 4.97 ^ soc/core/_38711_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.12 0.25 5.22 ^ soc/core/_38711_/Q (sky130_fd_sc_hd__dfxtp_1) + 3 0.02 soc/core/mgmtsoc_vexriscv_transfer_wait_for_ack (net) + 0.12 0.00 5.22 ^ soc/core/_19657_/B (sky130_fd_sc_hd__nor3_4) + 0.07 0.08 5.29 v soc/core/_19657_/Y (sky130_fd_sc_hd__nor3_4) + 10 0.06 soc/core/_09099_ (net) + 0.08 0.01 5.30 v soc/core/_19688_/A (sky130_fd_sc_hd__buf_2) + 0.05 0.12 5.42 v soc/core/_19688_/X (sky130_fd_sc_hd__buf_2) + 8 0.03 soc/core/_09120_ (net) + 0.05 0.00 5.42 v soc/core/_19702_/C (sky130_fd_sc_hd__nand3b_1) + 0.04 0.07 5.49 ^ soc/core/_19702_/Y (sky130_fd_sc_hd__nand3b_1) + 1 0.00 soc/core/_09128_ (net) + 0.04 0.00 5.49 ^ soc/core/_19703_/C1 (sky130_fd_sc_hd__o211a_1) + 0.02 0.06 5.55 ^ soc/core/_19703_/X (sky130_fd_sc_hd__o211a_1) + 1 0.00 soc/core/_06514_ (net) + 0.02 0.00 5.55 ^ soc/core/_39048_/D (sky130_fd_sc_hd__dfxtp_2) + 5.55 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.13 3.68 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.68 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.78 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 3.78 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.10 3.87 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 3.87 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.97 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 3.97 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 4.05 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 4.05 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.18 0.18 4.23 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.19 0.03 4.26 ^ soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 4.37 ^ soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 4.37 ^ soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 4.52 ^ soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.11 0.00 4.53 ^ soc/core/clkbuf_3_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.65 ^ soc/core/clkbuf_3_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_3_0_core_clk (net) + 0.04 0.00 4.65 ^ soc/core/clkbuf_3_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.74 ^ soc/core/clkbuf_3_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_3_1_core_clk (net) + 0.03 0.00 4.74 ^ soc/core/clkbuf_3_3_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 4.89 ^ soc/core/clkbuf_3_3_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_3_3_2_core_clk (net) + 0.11 0.01 4.89 ^ soc/core/clkbuf_4_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.16 5.05 ^ soc/core/clkbuf_4_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_4_6_0_core_clk (net) + 0.09 0.00 5.06 ^ soc/core/clkbuf_5_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 5.16 ^ soc/core/clkbuf_5_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_13_0_core_clk (net) + 0.03 0.00 5.16 ^ soc/core/clkbuf_5_13_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.61 0.48 5.64 ^ soc/core/clkbuf_5_13_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.27 soc/core/clknet_5_13_1_core_clk (net) + 0.61 0.05 5.69 ^ soc/core/clkbuf_leaf_124_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.09 0.19 5.89 ^ soc/core/clkbuf_leaf_124_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 36 0.09 soc/core/clknet_leaf_124_core_clk (net) + 0.09 0.00 5.89 ^ soc/core/_39048_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.25 6.14 clock uncertainty + -0.64 5.50 clock reconvergence pessimism + -0.02 5.48 library hold time + 5.48 data required time +----------------------------------------------------------------------------- + 5.48 data required time + -5.55 data arrival time +----------------------------------------------------------------------------- + 0.06 slack (MET) + + +Startpoint: housekeeping/_9173_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9173_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 1.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 2.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 2.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 2.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 2.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 2.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 2.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 2.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 2.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 2.92 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 3.04 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.04 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.07 3.11 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.04 0.00 3.11 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.12 3.24 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.12 0.00 3.24 ^ housekeeping/clkbuf_2_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.13 3.37 ^ housekeeping/clkbuf_2_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_1_0_wb_clk_i (net) + 0.11 0.00 3.37 ^ housekeeping/clkbuf_3_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.23 3.60 ^ housekeeping/clkbuf_3_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 14 0.06 housekeeping/clknet_3_2_0_wb_clk_i (net) + 0.27 0.01 3.61 ^ housekeeping/_9173_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.05 0.24 3.86 ^ housekeeping/_9173_/Q (sky130_fd_sc_hd__dfrtp_1) + 2 0.01 housekeeping/serial_data_staging_1[9] (net) + 0.05 0.00 3.86 ^ housekeeping/_5853_/B2 (sky130_fd_sc_hd__o22a_2) + 0.02 0.06 3.91 ^ housekeeping/_5853_/X (sky130_fd_sc_hd__o22a_2) + 1 0.00 housekeeping/_0402_ (net) + 0.02 0.00 3.91 ^ housekeeping/_9173_/D (sky130_fd_sc_hd__dfrtp_1) + 3.91 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 3.68 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.68 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.08 3.76 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.04 0.00 3.77 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.13 3.90 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.12 0.00 3.90 ^ housekeeping/clkbuf_2_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.14 4.05 ^ housekeeping/clkbuf_2_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_1_0_wb_clk_i (net) + 0.11 0.00 4.05 ^ housekeeping/clkbuf_3_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.25 4.30 ^ housekeeping/clkbuf_3_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 14 0.06 housekeeping/clknet_3_2_0_wb_clk_i (net) + 0.27 0.01 4.31 ^ housekeeping/_9173_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 4.56 clock uncertainty + -0.70 3.86 clock reconvergence pessimism + -0.01 3.85 library hold time + 3.85 data required time +----------------------------------------------------------------------------- + 3.85 data required time + -3.91 data arrival time +----------------------------------------------------------------------------- + 0.07 slack (MET) + + +Startpoint: soc/core/_38376_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_38192_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 1.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 2.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 2.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 2.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 2.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 2.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 2.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 2.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 2.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 2.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 3.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 3.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 3.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 3.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 3.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 3.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 3.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 3.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 3.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 3.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 3.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 3.80 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 3.91 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.04 0.00 3.91 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.99 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.03 0.00 3.99 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.12 4.11 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.09 0.00 4.12 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 4.25 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.08 0.00 4.25 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.34 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.03 0.00 4.34 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.64 0.47 4.80 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.64 0.03 4.84 ^ soc/core/clkbuf_leaf_126_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.16 5.00 ^ soc/core/clkbuf_leaf_126_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.07 soc/core/clknet_leaf_126_core_clk (net) + 0.08 0.00 5.00 ^ soc/core/_38376_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.04 0.22 5.22 v soc/core/_38376_/Q (sky130_fd_sc_hd__dfxtp_2) + 5 0.02 soc/core/mgmtsoc_bus_errors[18] (net) + 0.04 0.00 5.22 v soc/core/hold1504/A (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.07 0.12 5.34 v soc/core/hold1504/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 1 0.02 soc/core/net4353 (net) + 0.07 0.00 5.34 v soc/core/_22466_/B (sky130_fd_sc_hd__nand3_2) + 0.06 0.08 5.42 ^ soc/core/_22466_/Y (sky130_fd_sc_hd__nand3_2) + 1 0.01 soc/core/_10909_ (net) + 0.06 0.00 5.43 ^ soc/core/_22467_/A2 (sky130_fd_sc_hd__a21oi_1) + 0.03 0.04 5.47 v soc/core/_22467_/Y (sky130_fd_sc_hd__a21oi_1) + 1 0.00 soc/core/_05658_ (net) + 0.03 0.00 5.47 v soc/core/_38192_/D (sky130_fd_sc_hd__dfxtp_1) + 5.47 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.13 3.68 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.68 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.78 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 3.78 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.87 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 3.87 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.96 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 3.96 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 4.06 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 4.06 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.17 4.23 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 4.24 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.36 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 4.37 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.16 4.52 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 4.52 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.65 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.04 0.00 4.65 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.73 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.03 0.00 4.73 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.13 4.87 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.09 0.01 4.87 ^ soc/core/clkbuf_4_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 5.01 ^ soc/core/clkbuf_4_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_13_0_core_clk (net) + 0.07 0.00 5.01 ^ soc/core/clkbuf_5_26_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 5.11 ^ soc/core/clkbuf_5_26_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_26_0_core_clk (net) + 0.03 0.00 5.11 ^ soc/core/clkbuf_5_26_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.77 0.61 5.73 ^ soc/core/clkbuf_5_26_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.35 soc/core/clknet_5_26_1_core_clk (net) + 0.78 0.04 5.76 ^ soc/core/clkbuf_leaf_145_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.18 5.94 ^ soc/core/clkbuf_leaf_145_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_145_core_clk (net) + 0.08 0.00 5.94 ^ soc/core/_38192_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 6.19 clock uncertainty + -0.76 5.44 clock reconvergence pessimism + -0.03 5.40 library hold time + 5.40 data required time +----------------------------------------------------------------------------- + 5.40 data required time + -5.47 data arrival time +----------------------------------------------------------------------------- + 0.07 slack (MET) + + +Startpoint: soc/core/_38368_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_38368_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 1.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 2.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 2.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 2.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 2.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 2.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 2.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 2.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 2.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 2.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 3.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.04 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.12 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 3.13 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.21 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 3.21 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.30 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 3.30 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.07 3.37 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 3.37 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.18 0.17 3.54 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.19 0.03 3.56 ^ soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 3.67 ^ soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 3.67 ^ soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.14 3.80 ^ soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.11 0.00 3.80 ^ soc/core/clkbuf_3_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 3.91 ^ soc/core/clkbuf_3_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_3_0_core_clk (net) + 0.04 0.00 3.91 ^ soc/core/clkbuf_3_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 4.00 ^ soc/core/clkbuf_3_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_3_1_core_clk (net) + 0.03 0.00 4.00 ^ soc/core/clkbuf_3_3_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.13 4.13 ^ soc/core/clkbuf_3_3_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_3_3_2_core_clk (net) + 0.11 0.01 4.14 ^ soc/core/clkbuf_4_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.14 4.28 ^ soc/core/clkbuf_4_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_4_6_0_core_clk (net) + 0.09 0.00 4.28 ^ soc/core/clkbuf_5_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.38 ^ soc/core/clkbuf_5_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_13_0_core_clk (net) + 0.03 0.00 4.38 ^ soc/core/clkbuf_5_13_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.61 0.43 4.81 ^ soc/core/clkbuf_5_13_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.27 soc/core/clknet_5_13_1_core_clk (net) + 0.61 0.05 4.86 ^ soc/core/clkbuf_leaf_125_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.15 5.00 ^ soc/core/clkbuf_leaf_125_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 8 0.04 soc/core/clknet_leaf_125_core_clk (net) + 0.06 0.00 5.00 ^ soc/core/_38368_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.04 0.20 5.21 v soc/core/_38368_/Q (sky130_fd_sc_hd__dfxtp_1) + 3 0.01 soc/core/mgmtsoc_bus_errors[10] (net) + 0.04 0.00 5.21 v soc/core/_21935_/B1 (sky130_fd_sc_hd__a41oi_1) + 0.05 0.05 5.26 ^ soc/core/_21935_/Y (sky130_fd_sc_hd__a41oi_1) + 1 0.00 soc/core/_10557_ (net) + 0.05 0.00 5.26 ^ soc/core/_21936_/C (sky130_fd_sc_hd__nor3_1) + 0.02 0.03 5.29 v soc/core/_21936_/Y (sky130_fd_sc_hd__nor3_1) + 1 0.00 soc/core/_05834_ (net) + 0.02 0.00 5.29 v soc/core/_38368_/D (sky130_fd_sc_hd__dfxtp_1) + 5.29 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.13 3.68 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.68 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.78 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 3.78 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.10 3.87 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.04 0.00 3.87 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.97 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 3.97 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 4.05 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 4.05 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.18 0.18 4.23 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.19 0.03 4.26 ^ soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 4.37 ^ soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 4.37 ^ soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 4.52 ^ soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.11 0.00 4.53 ^ soc/core/clkbuf_3_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.65 ^ soc/core/clkbuf_3_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_3_0_core_clk (net) + 0.04 0.00 4.65 ^ soc/core/clkbuf_3_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.74 ^ soc/core/clkbuf_3_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_3_1_core_clk (net) + 0.03 0.00 4.74 ^ soc/core/clkbuf_3_3_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 4.89 ^ soc/core/clkbuf_3_3_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_3_3_2_core_clk (net) + 0.11 0.01 4.89 ^ soc/core/clkbuf_4_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.16 5.05 ^ soc/core/clkbuf_4_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_4_6_0_core_clk (net) + 0.09 0.00 5.06 ^ soc/core/clkbuf_5_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 5.16 ^ soc/core/clkbuf_5_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_13_0_core_clk (net) + 0.03 0.00 5.16 ^ soc/core/clkbuf_5_13_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.61 0.48 5.64 ^ soc/core/clkbuf_5_13_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.27 soc/core/clknet_5_13_1_core_clk (net) + 0.61 0.05 5.69 ^ soc/core/clkbuf_leaf_125_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.16 5.85 ^ soc/core/clkbuf_leaf_125_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 8 0.04 soc/core/clknet_leaf_125_core_clk (net) + 0.06 0.00 5.85 ^ soc/core/_38368_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 6.10 clock uncertainty + -0.85 5.25 clock reconvergence pessimism + -0.03 5.22 library hold time + 5.22 data required time +----------------------------------------------------------------------------- + 5.22 data required time + -5.29 data arrival time +----------------------------------------------------------------------------- + 0.07 slack (MET) + + +Startpoint: housekeeping/_9169_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9169_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 1.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 2.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 2.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 2.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 2.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 2.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 2.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 2.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 2.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 2.92 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 3.04 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.04 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.07 3.11 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.04 0.00 3.11 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.12 3.24 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.12 0.00 3.24 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.14 3.38 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.12 0.00 3.39 ^ housekeeping/clkbuf_3_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.23 3.61 ^ housekeeping/clkbuf_3_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 14 0.06 housekeeping/clknet_3_0_0_wb_clk_i (net) + 0.25 0.00 3.61 ^ housekeeping/_9169_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.05 0.24 3.86 ^ housekeeping/_9169_/Q (sky130_fd_sc_hd__dfrtp_1) + 2 0.01 housekeeping/serial_data_staging_1[5] (net) + 0.05 0.00 3.86 ^ housekeeping/_5857_/B2 (sky130_fd_sc_hd__o22a_2) + 0.02 0.06 3.92 ^ housekeeping/_5857_/X (sky130_fd_sc_hd__o22a_2) + 1 0.00 housekeeping/_0398_ (net) + 0.02 0.00 3.92 ^ housekeeping/_9169_/D (sky130_fd_sc_hd__dfrtp_1) + 3.92 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 3.68 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.68 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.08 3.76 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.04 0.00 3.77 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.13 3.90 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.12 0.00 3.90 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.16 4.06 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.12 0.00 4.06 ^ housekeeping/clkbuf_3_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.25 4.31 ^ housekeeping/clkbuf_3_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 14 0.06 housekeeping/clknet_3_0_0_wb_clk_i (net) + 0.25 0.00 4.32 ^ housekeeping/_9169_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 4.57 clock uncertainty + -0.70 3.86 clock reconvergence pessimism + -0.01 3.85 library hold time + 3.85 data required time +----------------------------------------------------------------------------- + 3.85 data required time + -3.92 data arrival time +----------------------------------------------------------------------------- + 0.07 slack (MET) + + +Startpoint: housekeeping/_9138_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9139_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 1.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 2.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 2.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 2.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 2.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 2.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 2.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 2.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 2.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 2.92 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 3.04 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.04 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.07 3.11 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.04 0.00 3.11 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.12 3.24 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.12 0.00 3.24 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.14 3.38 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.12 0.00 3.39 ^ housekeeping/clkbuf_3_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.23 3.61 ^ housekeeping/clkbuf_3_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 14 0.06 housekeeping/clknet_3_0_0_wb_clk_i (net) + 0.25 0.00 3.62 ^ housekeeping/_9138_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.06 0.25 3.87 ^ housekeeping/_9138_/Q (sky130_fd_sc_hd__dfrtp_1) + 2 0.01 housekeeping/serial_data_staging_2[0] (net) + 0.06 0.00 3.87 ^ housekeeping/_8875_/A1 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.95 ^ housekeeping/_8875_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 housekeeping/_0015_ (net) + 0.04 0.00 3.95 ^ housekeeping/_5894_/A2 (sky130_fd_sc_hd__o22a_2) + 0.02 0.06 4.01 ^ housekeeping/_5894_/X (sky130_fd_sc_hd__o22a_2) + 1 0.00 housekeeping/_0368_ (net) + 0.02 0.00 4.01 ^ housekeeping/_9139_/D (sky130_fd_sc_hd__dfrtp_1) + 4.01 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 3.68 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.68 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.08 3.76 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.04 0.00 3.77 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.13 3.90 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.12 0.00 3.90 ^ housekeeping/clkbuf_2_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.14 4.05 ^ housekeeping/clkbuf_2_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_1_0_wb_clk_i (net) + 0.11 0.00 4.05 ^ housekeeping/clkbuf_3_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.35 0.32 4.37 ^ housekeeping/clkbuf_3_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.08 housekeeping/clknet_3_3_0_wb_clk_i (net) + 0.35 0.00 4.37 ^ housekeeping/_9139_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 4.62 clock uncertainty + -0.66 3.96 clock reconvergence pessimism + -0.01 3.95 library hold time + 3.95 data required time +----------------------------------------------------------------------------- + 3.95 data required time + -4.01 data arrival time +----------------------------------------------------------------------------- + 0.07 slack (MET) + + +Startpoint: soc/core/_38793_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_38144_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 1.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 2.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 2.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 2.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 2.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 2.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 2.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 2.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 2.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 2.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 3.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 3.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 3.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 3.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 3.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 3.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 3.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 3.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 3.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 3.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 3.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 3.80 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 3.91 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.04 0.00 3.91 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.99 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.03 0.00 3.99 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.12 4.11 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.10 0.01 4.12 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 4.25 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.08 0.00 4.25 ^ soc/core/clkbuf_5_30_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.34 ^ soc/core/clkbuf_5_30_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_30_0_core_clk (net) + 0.03 0.00 4.34 ^ soc/core/clkbuf_5_30_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.60 0.44 4.78 ^ soc/core/clkbuf_5_30_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.27 soc/core/clknet_5_30_1_core_clk (net) + 0.60 0.03 4.81 ^ soc/core/clkbuf_leaf_171_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.15 4.96 ^ soc/core/clkbuf_leaf_171_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 10 0.04 soc/core/clknet_leaf_171_core_clk (net) + 0.06 0.00 4.96 ^ soc/core/_38793_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.02 0.21 5.17 v soc/core/_38793_/Q (sky130_fd_sc_hd__dfxtp_4) + 2 0.01 soc/core/mgmtsoc_master_rx_fifo_source_payload_data[2] (net) + 0.02 0.00 5.17 v soc/core/hold1910/A (sky130_fd_sc_hd__buf_4) + 0.07 0.12 5.29 v soc/core/hold1910/X (sky130_fd_sc_hd__buf_4) + 2 0.07 soc/core/net4759 (net) + 0.07 0.01 5.31 v soc/core/_22635_/B (sky130_fd_sc_hd__nand3_1) + 0.08 0.10 5.40 ^ soc/core/_22635_/Y (sky130_fd_sc_hd__nand3_1) + 1 0.01 soc/core/_11030_ (net) + 0.08 0.00 5.40 ^ soc/core/_22639_/A1 (sky130_fd_sc_hd__a31oi_4) + 0.05 0.08 5.49 v soc/core/_22639_/Y (sky130_fd_sc_hd__a31oi_4) + 2 0.03 soc/core/_05610_ (net) + 0.05 0.00 5.49 v soc/core/_38144_/D (sky130_fd_sc_hd__dfxtp_1) + 5.49 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.13 3.68 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.68 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.78 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 3.78 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.87 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 3.87 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.96 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 3.96 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 4.06 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 4.06 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.17 4.23 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 4.24 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.36 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 4.37 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.16 4.52 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 4.52 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.65 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.04 0.00 4.65 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.73 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.03 0.00 4.73 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.13 4.87 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.09 0.01 4.87 ^ soc/core/clkbuf_4_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 5.01 ^ soc/core/clkbuf_4_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_13_0_core_clk (net) + 0.07 0.00 5.01 ^ soc/core/clkbuf_5_26_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 5.11 ^ soc/core/clkbuf_5_26_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_26_0_core_clk (net) + 0.03 0.00 5.11 ^ soc/core/clkbuf_5_26_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.77 0.61 5.73 ^ soc/core/clkbuf_5_26_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.35 soc/core/clknet_5_26_1_core_clk (net) + 0.78 0.03 5.76 ^ soc/core/clkbuf_leaf_146_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.18 5.93 ^ soc/core/clkbuf_leaf_146_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.07 soc/core/clknet_leaf_146_core_clk (net) + 0.08 0.00 5.94 ^ soc/core/_38144_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 6.19 clock uncertainty + -0.72 5.46 clock reconvergence pessimism + -0.04 5.42 library hold time + 5.42 data required time +----------------------------------------------------------------------------- + 5.42 data required time + -5.49 data arrival time +----------------------------------------------------------------------------- + 0.07 slack (MET) + + +Startpoint: housekeeping/_9175_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9175_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 1.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 2.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 2.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 2.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 2.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 2.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 2.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 2.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 2.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 2.92 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 3.04 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.04 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.07 3.11 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.04 0.00 3.11 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.12 3.24 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.12 0.00 3.24 ^ housekeeping/clkbuf_2_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.13 3.37 ^ housekeeping/clkbuf_2_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_1_0_wb_clk_i (net) + 0.11 0.00 3.37 ^ housekeeping/clkbuf_3_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.23 3.60 ^ housekeeping/clkbuf_3_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 14 0.06 housekeeping/clknet_3_2_0_wb_clk_i (net) + 0.27 0.01 3.61 ^ housekeeping/_9175_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.05 0.25 3.86 ^ housekeeping/_9175_/Q (sky130_fd_sc_hd__dfrtp_1) + 2 0.01 housekeeping/serial_data_staging_1[11] (net) + 0.05 0.00 3.86 ^ housekeeping/_5851_/B2 (sky130_fd_sc_hd__o22a_2) + 0.02 0.06 3.92 ^ housekeeping/_5851_/X (sky130_fd_sc_hd__o22a_2) + 1 0.00 housekeeping/_0404_ (net) + 0.02 0.00 3.92 ^ housekeeping/_9175_/D (sky130_fd_sc_hd__dfrtp_1) + 3.92 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 3.68 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.68 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.08 3.76 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.04 0.00 3.77 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.13 3.90 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.12 0.00 3.90 ^ housekeeping/clkbuf_2_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.14 4.05 ^ housekeeping/clkbuf_2_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_1_0_wb_clk_i (net) + 0.11 0.00 4.05 ^ housekeeping/clkbuf_3_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.25 4.30 ^ housekeeping/clkbuf_3_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 14 0.06 housekeeping/clknet_3_2_0_wb_clk_i (net) + 0.27 0.01 4.31 ^ housekeeping/_9175_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 4.56 clock uncertainty + -0.70 3.86 clock reconvergence pessimism + -0.01 3.85 library hold time + 3.85 data required time +----------------------------------------------------------------------------- + 3.85 data required time + -3.92 data arrival time +----------------------------------------------------------------------------- + 0.07 slack (MET) + + +Startpoint: housekeeping/_9015_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9015_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 1.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 2.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 2.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 2.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 2.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 2.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 2.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 2.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 2.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 2.92 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 3.04 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.04 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.07 3.11 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 3.11 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.13 3.24 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 3.24 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.13 3.37 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.10 0.00 3.38 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.21 0.19 3.57 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 12 0.05 housekeeping/clknet_3_5_0_wb_clk_i (net) + 0.21 0.00 3.57 ^ housekeeping/_9015_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.07 0.24 3.81 ^ housekeeping/_9015_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.01 housekeeping/net329 (net) + 0.07 0.00 3.81 ^ housekeeping/_6981_/B1 (sky130_fd_sc_hd__o22a_1) + 0.03 0.07 3.88 ^ housekeeping/_6981_/X (sky130_fd_sc_hd__o22a_1) + 1 0.00 housekeeping/_0265_ (net) + 0.03 0.00 3.88 ^ housekeeping/_9015_/D (sky130_fd_sc_hd__dfxtp_1) + 3.88 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 3.68 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.69 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.08 3.76 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 3.76 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.14 3.91 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 3.91 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.14 4.05 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.10 0.00 4.05 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.21 0.21 4.27 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 12 0.05 housekeeping/clknet_3_5_0_wb_clk_i (net) + 0.21 0.00 4.27 ^ housekeeping/_9015_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 4.52 clock uncertainty + -0.70 3.82 clock reconvergence pessimism + -0.01 3.81 library hold time + 3.81 data required time +----------------------------------------------------------------------------- + 3.81 data required time + -3.88 data arrival time +----------------------------------------------------------------------------- + 0.07 slack (MET) + + +Startpoint: soc/core/_37928_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_35476_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 1.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 2.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 2.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 2.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 2.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 2.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 2.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 2.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 2.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 2.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 3.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 3.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 3.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 3.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 3.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 3.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 3.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 3.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 3.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 3.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 3.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 3.80 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 3.91 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.04 0.00 3.91 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.99 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.03 0.00 3.99 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.12 4.11 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.10 0.01 4.12 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 4.25 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.08 0.00 4.25 ^ soc/core/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.34 ^ soc/core/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_28_0_core_clk (net) + 0.03 0.00 4.34 ^ soc/core/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.57 0.43 4.77 ^ soc/core/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.26 soc/core/clknet_5_28_1_core_clk (net) + 0.57 0.02 4.78 ^ soc/core/clkbuf_leaf_279_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.15 4.93 ^ soc/core/clkbuf_leaf_279_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 12 0.04 soc/core/clknet_leaf_279_core_clk (net) + 0.06 0.00 4.94 ^ soc/core/_37928_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.04 0.19 5.13 ^ soc/core/_37928_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.01 soc/core/VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][25] (net) + 0.04 0.00 5.13 ^ soc/core/_32819_/A1 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 5.21 ^ soc/core/_32819_/X (sky130_fd_sc_hd__mux2_1) + 1 0.01 soc/core/VexRiscv.IBusCachedPlugin_cache.ways_0_tags$rdreg[0]$d[25] (net) + 0.04 0.00 5.21 ^ soc/core/_30176_/A1 (sky130_fd_sc_hd__mux2_1) + 0.03 0.07 5.28 ^ soc/core/_30176_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/_15888_ (net) + 0.03 0.00 5.28 ^ soc/core/_30177_/A (sky130_fd_sc_hd__clkbuf_1) + 0.03 0.05 5.33 ^ soc/core/_30177_/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/_02942_ (net) + 0.03 0.00 5.33 ^ soc/core/_35476_/D (sky130_fd_sc_hd__dfxtp_1) + 5.33 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.13 3.68 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.68 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.78 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 3.78 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.87 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 3.87 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.96 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 3.96 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 4.06 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 4.06 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.17 4.23 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 4.24 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 4.36 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.03 0.00 4.36 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 4.52 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.11 0.00 4.52 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.64 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_0_core_clk (net) + 0.04 0.00 4.64 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.74 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_1_core_clk (net) + 0.03 0.00 4.74 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.13 4.87 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_5_2_core_clk (net) + 0.09 0.01 4.87 ^ soc/core/clkbuf_4_11_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.15 5.02 ^ soc/core/clkbuf_4_11_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_11_0_core_clk (net) + 0.08 0.00 5.03 ^ soc/core/clkbuf_5_23_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 5.13 ^ soc/core/clkbuf_5_23_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_23_0_core_clk (net) + 0.03 0.00 5.13 ^ soc/core/clkbuf_5_23_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.50 0.42 5.55 ^ soc/core/clkbuf_5_23_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.22 soc/core/clknet_5_23_1_core_clk (net) + 0.50 0.01 5.56 ^ soc/core/clkbuf_leaf_282_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.07 0.17 5.73 ^ soc/core/clkbuf_leaf_282_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 22 0.06 soc/core/clknet_leaf_282_core_clk (net) + 0.07 0.00 5.73 ^ soc/core/_35476_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 5.98 clock uncertainty + -0.69 5.28 clock reconvergence pessimism + -0.02 5.27 library hold time + 5.27 data required time +----------------------------------------------------------------------------- + 5.27 data required time + -5.33 data arrival time +----------------------------------------------------------------------------- + 0.07 slack (MET) + + +Startpoint: soc/core/_37927_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_35475_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 1.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 2.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 2.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 2.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 2.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 2.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 2.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 2.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 2.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 2.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 3.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 3.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 3.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 3.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 3.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 3.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 3.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 3.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 3.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 3.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 3.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 3.80 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 3.91 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.04 0.00 3.91 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.99 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.03 0.00 3.99 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.12 4.11 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.10 0.01 4.12 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 4.25 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.08 0.00 4.25 ^ soc/core/clkbuf_5_29_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.34 ^ soc/core/clkbuf_5_29_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_29_0_core_clk (net) + 0.03 0.00 4.34 ^ soc/core/clkbuf_5_29_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.54 0.40 4.74 ^ soc/core/clkbuf_5_29_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.24 soc/core/clknet_5_29_1_core_clk (net) + 0.54 0.03 4.77 ^ soc/core/clkbuf_leaf_285_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.16 4.93 ^ soc/core/clkbuf_leaf_285_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 30 0.08 soc/core/clknet_leaf_285_core_clk (net) + 0.08 0.00 4.93 ^ soc/core/_37927_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.04 0.20 5.13 ^ soc/core/_37927_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.00 soc/core/VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][24] (net) + 0.04 0.00 5.13 ^ soc/core/_32818_/A1 (sky130_fd_sc_hd__mux2_1) + 0.06 0.10 5.23 ^ soc/core/_32818_/X (sky130_fd_sc_hd__mux2_1) + 1 0.01 soc/core/VexRiscv.IBusCachedPlugin_cache.ways_0_tags$rdreg[0]$d[24] (net) + 0.06 0.00 5.23 ^ soc/core/_30178_/A1 (sky130_fd_sc_hd__mux2_1) + 0.03 0.08 5.31 ^ soc/core/_30178_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/_15889_ (net) + 0.03 0.00 5.31 ^ soc/core/_30179_/A (sky130_fd_sc_hd__clkbuf_1) + 0.03 0.04 5.35 ^ soc/core/_30179_/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/_02941_ (net) + 0.03 0.00 5.35 ^ soc/core/_35475_/D (sky130_fd_sc_hd__dfxtp_4) + 5.35 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.13 3.68 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.68 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.78 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 3.78 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.87 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 3.87 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.96 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 3.96 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 4.06 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 4.06 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.17 4.23 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 4.24 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 4.36 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.03 0.00 4.36 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 4.52 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.11 0.00 4.52 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.64 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_0_core_clk (net) + 0.04 0.00 4.64 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.74 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_1_core_clk (net) + 0.03 0.00 4.74 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.13 4.87 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_5_2_core_clk (net) + 0.09 0.01 4.87 ^ soc/core/clkbuf_4_11_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.15 5.02 ^ soc/core/clkbuf_4_11_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_11_0_core_clk (net) + 0.08 0.00 5.03 ^ soc/core/clkbuf_5_23_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 5.13 ^ soc/core/clkbuf_5_23_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_23_0_core_clk (net) + 0.03 0.00 5.13 ^ soc/core/clkbuf_5_23_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.50 0.42 5.55 ^ soc/core/clkbuf_5_23_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.22 soc/core/clknet_5_23_1_core_clk (net) + 0.51 0.03 5.58 ^ soc/core/clkbuf_leaf_286_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.16 5.74 ^ soc/core/clkbuf_leaf_286_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 16 0.04 soc/core/clknet_leaf_286_core_clk (net) + 0.06 0.00 5.74 ^ soc/core/_35475_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.25 5.99 clock uncertainty + -0.69 5.30 clock reconvergence pessimism + -0.02 5.28 library hold time + 5.28 data required time +----------------------------------------------------------------------------- + 5.28 data required time + -5.35 data arrival time +----------------------------------------------------------------------------- + 0.07 slack (MET) + + +Startpoint: housekeeping/_9167_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9167_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 1.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 2.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 2.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 2.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 2.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 2.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 2.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 2.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 2.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 2.92 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 3.04 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.04 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.07 3.11 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.04 0.00 3.11 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.12 3.24 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.12 0.00 3.24 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.14 3.38 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.12 0.00 3.39 ^ housekeeping/clkbuf_3_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.23 3.61 ^ housekeeping/clkbuf_3_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 14 0.06 housekeeping/clknet_3_0_0_wb_clk_i (net) + 0.25 0.00 3.61 ^ housekeeping/_9167_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.05 0.25 3.86 ^ housekeeping/_9167_/Q (sky130_fd_sc_hd__dfrtp_1) + 2 0.01 housekeeping/serial_data_staging_1[3] (net) + 0.05 0.00 3.86 ^ housekeeping/_5859_/B2 (sky130_fd_sc_hd__o22a_2) + 0.02 0.06 3.92 ^ housekeeping/_5859_/X (sky130_fd_sc_hd__o22a_2) + 1 0.00 housekeeping/_0396_ (net) + 0.02 0.00 3.92 ^ housekeeping/_9167_/D (sky130_fd_sc_hd__dfrtp_1) + 3.92 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 3.68 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.68 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.08 3.76 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.04 0.00 3.77 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.13 3.90 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.12 0.00 3.90 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.16 4.06 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.12 0.00 4.06 ^ housekeeping/clkbuf_3_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.25 4.31 ^ housekeeping/clkbuf_3_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 14 0.06 housekeeping/clknet_3_0_0_wb_clk_i (net) + 0.25 0.00 4.32 ^ housekeeping/_9167_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 4.57 clock uncertainty + -0.70 3.86 clock reconvergence pessimism + -0.01 3.85 library hold time + 3.85 data required time +----------------------------------------------------------------------------- + 3.85 data required time + -3.92 data arrival time +----------------------------------------------------------------------------- + 0.07 slack (MET) + + +Startpoint: soc/core/_39332_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_39340_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 1.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 2.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 2.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 2.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 2.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 2.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 2.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 2.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 2.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 2.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 3.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 3.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 3.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 3.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 3.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 3.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 3.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 3.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 3.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 3.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 3.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 3.80 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 3.91 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.04 0.00 3.91 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.99 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.03 0.00 3.99 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.12 4.11 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.10 0.01 4.12 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 4.25 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.08 0.00 4.25 ^ soc/core/clkbuf_5_29_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.34 ^ soc/core/clkbuf_5_29_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_29_0_core_clk (net) + 0.03 0.00 4.34 ^ soc/core/clkbuf_5_29_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.54 0.40 4.74 ^ soc/core/clkbuf_5_29_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.24 soc/core/clknet_5_29_1_core_clk (net) + 0.54 0.01 4.75 ^ soc/core/clkbuf_leaf_220_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.14 4.89 ^ soc/core/clkbuf_leaf_220_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_220_core_clk (net) + 0.06 0.00 4.89 ^ soc/core/_39332_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.06 0.23 5.12 v soc/core/_39332_/Q (sky130_fd_sc_hd__dfxtp_2) + 4 0.03 soc/core/dbg_uart_address[15] (net) + 0.06 0.00 5.13 v soc/core/_18224_/A (sky130_fd_sc_hd__clkinv_4) + 0.05 0.06 5.18 ^ soc/core/_18224_/Y (sky130_fd_sc_hd__clkinv_4) + 3 0.03 soc/core/_02078_ (net) + 0.05 0.00 5.18 ^ soc/core/_18225_/A (sky130_fd_sc_hd__nor3_1) + 0.03 0.03 5.22 v soc/core/_18225_/Y (sky130_fd_sc_hd__nor3_1) + 1 0.00 soc/core/_08055_ (net) + 0.03 0.00 5.22 v soc/core/_18226_/B1 (sky130_fd_sc_hd__a41oi_1) + 0.04 0.04 5.26 ^ soc/core/_18226_/Y (sky130_fd_sc_hd__a41oi_1) + 1 0.00 soc/core/_08056_ (net) + 0.04 0.00 5.26 ^ soc/core/_18227_/A2 (sky130_fd_sc_hd__a21oi_1) + 0.02 0.03 5.30 v soc/core/_18227_/Y (sky130_fd_sc_hd__a21oi_1) + 1 0.00 soc/core/_06806_ (net) + 0.02 0.00 5.30 v soc/core/_39340_/D (sky130_fd_sc_hd__dfxtp_2) + 5.30 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.13 3.68 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.68 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.78 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 3.78 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.87 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 3.87 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.96 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 3.96 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 4.06 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 4.06 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.17 4.23 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 4.24 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.36 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 4.37 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.16 4.52 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 4.52 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.64 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.04 0.00 4.64 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.73 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.03 0.00 4.73 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.14 4.87 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.10 0.01 4.87 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.15 5.02 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.08 0.00 5.02 ^ soc/core/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 5.12 ^ soc/core/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_28_0_core_clk (net) + 0.03 0.00 5.12 ^ soc/core/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.57 0.47 5.59 ^ soc/core/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.26 soc/core/clknet_5_28_1_core_clk (net) + 0.57 0.02 5.61 ^ soc/core/clkbuf_leaf_221_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.17 5.77 ^ soc/core/clkbuf_leaf_221_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 16 0.05 soc/core/clknet_leaf_221_core_clk (net) + 0.07 0.00 5.78 ^ soc/core/_39340_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.25 6.03 clock uncertainty + -0.77 5.26 clock reconvergence pessimism + -0.03 5.23 library hold time + 5.23 data required time +----------------------------------------------------------------------------- + 5.23 data required time + -5.30 data arrival time +----------------------------------------------------------------------------- + 0.07 slack (MET) + + +Startpoint: housekeeping/_9147_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9147_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 1.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 2.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 2.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 2.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 2.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 2.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 2.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 2.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 2.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 2.92 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 3.04 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.04 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.07 3.11 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.04 0.00 3.11 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.12 3.24 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.12 0.00 3.24 ^ housekeeping/clkbuf_2_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.13 3.37 ^ housekeeping/clkbuf_2_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_1_0_wb_clk_i (net) + 0.11 0.00 3.37 ^ housekeeping/clkbuf_3_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.23 3.60 ^ housekeeping/clkbuf_3_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 14 0.06 housekeeping/clknet_3_2_0_wb_clk_i (net) + 0.27 0.01 3.61 ^ housekeeping/_9147_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.05 0.25 3.86 ^ housekeeping/_9147_/Q (sky130_fd_sc_hd__dfrtp_1) + 2 0.01 housekeeping/serial_data_staging_2[9] (net) + 0.05 0.00 3.86 ^ housekeeping/_5886_/B2 (sky130_fd_sc_hd__o22a_2) + 0.02 0.06 3.92 ^ housekeeping/_5886_/X (sky130_fd_sc_hd__o22a_2) + 1 0.00 housekeeping/_0376_ (net) + 0.02 0.00 3.92 ^ housekeeping/_9147_/D (sky130_fd_sc_hd__dfrtp_1) + 3.92 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 3.68 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.68 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.08 3.76 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.04 0.00 3.77 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.13 3.90 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.12 0.00 3.90 ^ housekeeping/clkbuf_2_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.14 4.05 ^ housekeeping/clkbuf_2_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_1_0_wb_clk_i (net) + 0.11 0.00 4.05 ^ housekeeping/clkbuf_3_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.25 4.30 ^ housekeeping/clkbuf_3_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 14 0.06 housekeeping/clknet_3_2_0_wb_clk_i (net) + 0.27 0.01 4.31 ^ housekeeping/_9147_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 4.56 clock uncertainty + -0.70 3.86 clock reconvergence pessimism + -0.01 3.85 library hold time + 3.85 data required time +----------------------------------------------------------------------------- + 3.85 data required time + -3.92 data arrival time +----------------------------------------------------------------------------- + 0.07 slack (MET) + + +Startpoint: housekeeping/_9150_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9150_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 1.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 2.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 2.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 2.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 2.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 2.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 2.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 2.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 2.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 2.92 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 3.04 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.04 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.07 3.11 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.04 0.00 3.11 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.12 3.24 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.12 0.00 3.24 ^ housekeeping/clkbuf_2_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.13 3.37 ^ housekeeping/clkbuf_2_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_1_0_wb_clk_i (net) + 0.11 0.00 3.37 ^ housekeeping/clkbuf_3_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.23 3.60 ^ housekeeping/clkbuf_3_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 14 0.06 housekeeping/clknet_3_2_0_wb_clk_i (net) + 0.27 0.01 3.61 ^ housekeeping/_9150_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.05 0.25 3.86 ^ housekeeping/_9150_/Q (sky130_fd_sc_hd__dfrtp_1) + 2 0.01 housekeeping/serial_data_staging_2[12] (net) + 0.05 0.00 3.86 ^ housekeeping/_5883_/B2 (sky130_fd_sc_hd__o22a_2) + 0.02 0.06 3.92 ^ housekeeping/_5883_/X (sky130_fd_sc_hd__o22a_2) + 1 0.00 housekeeping/_0379_ (net) + 0.02 0.00 3.92 ^ housekeeping/_9150_/D (sky130_fd_sc_hd__dfrtp_1) + 3.92 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.14 3.68 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 3.68 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.08 3.76 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.04 0.00 3.77 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.13 3.90 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.12 0.00 3.90 ^ housekeeping/clkbuf_2_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.14 4.05 ^ housekeeping/clkbuf_2_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_1_0_wb_clk_i (net) + 0.11 0.00 4.05 ^ housekeeping/clkbuf_3_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.25 4.30 ^ housekeeping/clkbuf_3_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 14 0.06 housekeeping/clknet_3_2_0_wb_clk_i (net) + 0.27 0.01 4.31 ^ housekeeping/_9150_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 4.56 clock uncertainty + -0.70 3.86 clock reconvergence pessimism + -0.01 3.85 library hold time + 3.85 data required time +----------------------------------------------------------------------------- + 3.85 data required time + -3.92 data arrival time +----------------------------------------------------------------------------- + 0.07 slack (MET) + + +Startpoint: soc/core/_34832_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_37233_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 1.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 2.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 2.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 2.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 2.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 2.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 2.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 2.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 2.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 2.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 3.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 3.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 3.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 3.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 3.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 3.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 3.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 3.55 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.11 3.66 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.03 0.00 3.66 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.14 3.80 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.11 0.00 3.80 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 3.91 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.04 0.00 3.91 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 3.99 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.03 0.00 3.99 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.13 4.12 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_4_2_core_clk (net) + 0.11 0.01 4.12 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.13 4.25 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_8_0_core_clk (net) + 0.07 0.00 4.25 ^ soc/core/clkbuf_5_16_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.34 ^ soc/core/clkbuf_5_16_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_16_0_core_clk (net) + 0.03 0.00 4.34 ^ soc/core/clkbuf_5_16_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.64 0.47 4.82 ^ soc/core/clkbuf_5_16_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 36 0.29 soc/core/clknet_5_16_1_core_clk (net) + 0.65 0.02 4.84 ^ soc/core/clkbuf_leaf_358_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.07 0.16 5.00 ^ soc/core/clkbuf_leaf_358_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 22 0.06 soc/core/clknet_leaf_358_core_clk (net) + 0.07 0.00 5.00 ^ soc/core/_34832_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.03 0.19 5.19 ^ soc/core/_34832_/Q (sky130_fd_sc_hd__dfxtp_1) + 1 0.00 soc/core/VexRiscv._zz_RegFilePlugin_regFile_port0[0] (net) + 0.03 0.00 5.19 ^ soc/core/_26120_/A1 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 5.27 ^ soc/core/_26120_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/_13597_ (net) + 0.04 0.00 5.27 ^ soc/core/_26121_/A (sky130_fd_sc_hd__clkbuf_1) + 0.03 0.05 5.31 ^ soc/core/_26121_/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/_04699_ (net) + 0.03 0.00 5.31 ^ soc/core/_37233_/D (sky130_fd_sc_hd__dfxtp_1) + 5.31 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.13 3.68 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.68 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.78 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 3.78 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.87 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 3.87 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.96 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 3.96 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 4.06 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 4.06 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.17 4.23 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 4.24 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 4.36 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.03 0.00 4.36 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 4.52 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.11 0.00 4.52 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.64 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.04 0.00 4.64 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.73 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.03 0.00 4.73 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.14 4.87 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_4_2_core_clk (net) + 0.11 0.01 4.88 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 5.02 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_8_0_core_clk (net) + 0.07 0.00 5.03 ^ soc/core/clkbuf_5_16_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 5.12 ^ soc/core/clkbuf_5_16_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_16_0_core_clk (net) + 0.03 0.00 5.12 ^ soc/core/clkbuf_5_16_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.64 0.52 5.65 ^ soc/core/clkbuf_5_16_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 36 0.29 soc/core/clknet_5_16_1_core_clk (net) + 0.65 0.03 5.67 ^ soc/core/clkbuf_leaf_359_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.17 5.84 ^ soc/core/clkbuf_leaf_359_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 16 0.05 soc/core/clknet_leaf_359_core_clk (net) + 0.06 0.00 5.84 ^ soc/core/_37233_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 6.09 clock uncertainty + -0.83 5.26 clock reconvergence pessimism + -0.02 5.25 library hold time + 5.25 data required time +----------------------------------------------------------------------------- + 5.25 data required time + -5.31 data arrival time +----------------------------------------------------------------------------- + 0.07 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9662_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.92 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.97 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.98 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.22 16.20 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 16.21 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.05 16.26 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 16.26 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.10 16.36 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 16.36 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.12 16.49 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.36 0.17 16.65 ^ housekeeping/_9662_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 16.65 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 28.04 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.07 28.11 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 28.11 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.13 28.24 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 28.24 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.13 28.38 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.10 0.00 28.38 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.32 0.26 28.64 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.32 0.01 28.65 ^ housekeeping/_9662_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 28.40 clock uncertainty + 0.43 28.83 clock reconvergence pessimism + 0.13 28.96 library recovery time + 28.96 data required time +----------------------------------------------------------------------------- + 28.96 data required time + -16.65 data arrival time +----------------------------------------------------------------------------- + 12.31 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9661_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.92 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.97 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.98 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.22 16.20 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 16.21 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.05 16.26 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 16.26 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.10 16.36 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 16.36 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.12 16.49 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.36 0.17 16.65 ^ housekeeping/_9661_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 16.65 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 28.04 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.07 28.11 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 28.11 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.13 28.24 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 28.24 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.13 28.38 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.10 0.00 28.38 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.32 0.26 28.64 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.32 0.01 28.65 ^ housekeeping/_9661_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 28.40 clock uncertainty + 0.43 28.83 clock reconvergence pessimism + 0.13 28.96 library recovery time + 28.96 data required time +----------------------------------------------------------------------------- + 28.96 data required time + -16.65 data arrival time +----------------------------------------------------------------------------- + 12.31 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9660_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.92 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.97 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.98 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.22 16.20 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 16.21 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.05 16.26 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 16.26 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.10 16.36 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 16.36 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.12 16.49 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.36 0.17 16.65 ^ housekeeping/_9660_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 16.65 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 28.04 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.07 28.11 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 28.11 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.13 28.24 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 28.24 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.13 28.38 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.10 0.00 28.38 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.32 0.26 28.64 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.32 0.01 28.65 ^ housekeeping/_9660_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 28.40 clock uncertainty + 0.43 28.83 clock reconvergence pessimism + 0.13 28.96 library recovery time + 28.96 data required time +----------------------------------------------------------------------------- + 28.96 data required time + -16.65 data arrival time +----------------------------------------------------------------------------- + 12.31 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9663_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.92 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.97 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.98 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.22 16.20 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 16.21 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.05 16.26 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 16.26 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.10 16.36 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 16.36 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.12 16.49 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.36 0.17 16.65 ^ housekeeping/_9663_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 16.65 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 28.04 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.07 28.11 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 28.11 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.13 28.24 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 28.24 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.13 28.38 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.10 0.00 28.38 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.32 0.26 28.64 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.32 0.01 28.65 ^ housekeeping/_9663_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 28.40 clock uncertainty + 0.43 28.83 clock reconvergence pessimism + 0.13 28.96 library recovery time + 28.96 data required time +----------------------------------------------------------------------------- + 28.96 data required time + -16.65 data arrival time +----------------------------------------------------------------------------- + 12.31 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9664_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.92 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.97 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.98 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.22 16.20 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 16.21 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.05 16.26 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 16.26 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.10 16.36 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 16.36 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.12 16.49 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.36 0.17 16.65 ^ housekeeping/_9664_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 16.65 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 28.04 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.07 28.11 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 28.11 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.13 28.24 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 28.24 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.13 28.38 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.10 0.00 28.38 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.32 0.26 28.64 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.32 0.01 28.65 ^ housekeeping/_9664_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 28.40 clock uncertainty + 0.43 28.83 clock reconvergence pessimism + 0.13 28.96 library recovery time + 28.96 data required time +----------------------------------------------------------------------------- + 28.96 data required time + -16.65 data arrival time +----------------------------------------------------------------------------- + 12.31 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9065_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.92 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.97 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.98 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.22 16.20 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 16.21 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.05 16.26 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 16.26 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.10 16.36 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 16.36 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.12 16.49 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.34 0.16 16.64 ^ housekeeping/_9065_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 16.64 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 28.04 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.07 28.11 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 28.11 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.13 28.24 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 28.24 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.13 28.38 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.10 0.00 28.38 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.32 0.26 28.64 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.32 0.00 28.64 ^ housekeeping/_9065_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 28.39 clock uncertainty + 0.43 28.82 clock reconvergence pessimism + 0.13 28.96 library recovery time + 28.96 data required time +----------------------------------------------------------------------------- + 28.96 data required time + -16.64 data arrival time +----------------------------------------------------------------------------- + 12.31 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9067_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.92 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.97 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.98 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.22 16.20 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 16.21 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.05 16.26 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 16.26 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.10 16.36 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 16.36 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.12 16.49 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.36 0.16 16.65 ^ housekeeping/_9067_/RESET_B (sky130_fd_sc_hd__dfrtp_4) + 16.65 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 28.04 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.07 28.11 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 28.11 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.13 28.24 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 28.24 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.13 28.38 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.10 0.00 28.38 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.39 0.31 28.69 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.39 0.00 28.69 ^ housekeeping/_9067_/CLK (sky130_fd_sc_hd__dfrtp_4) + -0.25 28.44 clock uncertainty + 0.43 28.87 clock reconvergence pessimism + 0.09 28.96 library recovery time + 28.96 data required time +----------------------------------------------------------------------------- + 28.96 data required time + -16.65 data arrival time +----------------------------------------------------------------------------- + 12.31 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9066_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.92 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.97 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.98 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.22 16.20 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 16.21 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.05 16.26 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 16.26 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.10 16.36 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 16.36 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.12 16.49 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.35 0.16 16.65 ^ housekeeping/_9066_/RESET_B (sky130_fd_sc_hd__dfrtp_4) + 16.65 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 28.04 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.07 28.11 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 28.11 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.13 28.24 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 28.24 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.13 28.38 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.10 0.00 28.38 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.39 0.31 28.69 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.39 0.00 28.69 ^ housekeeping/_9066_/CLK (sky130_fd_sc_hd__dfrtp_4) + -0.25 28.44 clock uncertainty + 0.43 28.87 clock reconvergence pessimism + 0.09 28.96 library recovery time + 28.96 data required time +----------------------------------------------------------------------------- + 28.96 data required time + -16.65 data arrival time +----------------------------------------------------------------------------- + 12.31 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9068_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.92 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.97 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.98 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.22 16.20 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 16.21 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.05 16.26 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 16.26 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.10 16.36 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 16.36 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.12 16.49 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.35 0.16 16.65 ^ housekeeping/_9068_/RESET_B (sky130_fd_sc_hd__dfrtp_4) + 16.65 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 28.04 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.07 28.11 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 28.11 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.13 28.24 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 28.24 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.13 28.38 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.10 0.00 28.38 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.39 0.31 28.69 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.39 0.00 28.69 ^ housekeeping/_9068_/CLK (sky130_fd_sc_hd__dfrtp_4) + -0.25 28.44 clock uncertainty + 0.43 28.87 clock reconvergence pessimism + 0.09 28.96 library recovery time + 28.96 data required time +----------------------------------------------------------------------------- + 28.96 data required time + -16.65 data arrival time +----------------------------------------------------------------------------- + 12.32 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9119_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.92 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.97 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.98 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.22 16.20 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 16.21 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.05 16.26 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 16.26 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.10 16.36 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 16.36 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.12 16.49 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.35 0.16 16.65 ^ housekeeping/_9119_/RESET_B (sky130_fd_sc_hd__dfrtp_4) + 16.65 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 28.04 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.07 28.11 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 28.11 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.13 28.24 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 28.24 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.13 28.38 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.10 0.00 28.38 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.39 0.31 28.69 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.39 0.00 28.69 ^ housekeeping/_9119_/CLK (sky130_fd_sc_hd__dfrtp_4) + -0.25 28.44 clock uncertainty + 0.43 28.87 clock reconvergence pessimism + 0.09 28.96 library recovery time + 28.96 data required time +----------------------------------------------------------------------------- + 28.96 data required time + -16.65 data arrival time +----------------------------------------------------------------------------- + 12.32 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9587_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.92 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.97 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.98 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.22 16.20 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 16.21 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.05 16.26 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 16.26 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.10 16.36 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 16.36 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.12 16.49 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.34 0.15 16.64 ^ housekeeping/_9587_/RESET_B (sky130_fd_sc_hd__dfrtp_4) + 16.64 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 28.04 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.07 28.11 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 28.11 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.13 28.24 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 28.24 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.13 28.38 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.10 0.00 28.38 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.39 0.31 28.69 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.39 0.01 28.69 ^ housekeeping/_9587_/CLK (sky130_fd_sc_hd__dfrtp_4) + -0.25 28.44 clock uncertainty + 0.43 28.88 clock reconvergence pessimism + 0.09 28.97 library recovery time + 28.97 data required time +----------------------------------------------------------------------------- + 28.97 data required time + -16.64 data arrival time +----------------------------------------------------------------------------- + 12.33 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9759_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.92 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.97 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.98 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.22 16.20 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 16.21 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.05 16.26 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 16.26 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.10 16.36 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 16.36 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.12 16.49 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.34 0.15 16.64 ^ housekeeping/_9759_/RESET_B (sky130_fd_sc_hd__dfrtp_4) + 16.64 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 28.04 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.07 28.11 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 28.11 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.13 28.24 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 28.24 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.13 28.38 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.10 0.00 28.38 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.39 0.31 28.69 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.39 0.01 28.69 ^ housekeeping/_9759_/CLK (sky130_fd_sc_hd__dfrtp_4) + -0.25 28.44 clock uncertainty + 0.43 28.88 clock reconvergence pessimism + 0.10 28.97 library recovery time + 28.97 data required time +----------------------------------------------------------------------------- + 28.97 data required time + -16.64 data arrival time +----------------------------------------------------------------------------- + 12.34 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9640_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.92 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.97 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.98 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.22 16.20 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 16.21 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.05 16.26 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 16.26 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.10 16.36 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 16.36 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.12 16.49 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.33 0.15 16.63 ^ housekeeping/_9640_/RESET_B (sky130_fd_sc_hd__dfrtp_2) + 16.63 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 28.04 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.07 28.11 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 28.11 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.13 28.24 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 28.24 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.13 28.38 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.10 0.00 28.38 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.39 0.31 28.69 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.39 0.01 28.69 ^ housekeeping/_9640_/CLK (sky130_fd_sc_hd__dfrtp_2) + -0.25 28.44 clock uncertainty + 0.43 28.88 clock reconvergence pessimism + 0.12 28.99 library recovery time + 28.99 data required time +----------------------------------------------------------------------------- + 28.99 data required time + -16.63 data arrival time +----------------------------------------------------------------------------- + 12.36 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9059_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.92 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.97 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.98 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.22 16.20 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 16.21 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.05 16.26 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 16.26 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.10 16.36 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 16.36 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.12 16.49 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.35 0.16 16.65 ^ housekeeping/_9059_/SET_B (sky130_fd_sc_hd__dfstp_1) + 16.65 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 28.04 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.07 28.11 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 28.11 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.13 28.24 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 28.24 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.13 28.38 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.10 0.00 28.38 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.39 0.31 28.69 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.39 0.00 28.69 ^ housekeeping/_9059_/CLK (sky130_fd_sc_hd__dfstp_1) + -0.25 28.44 clock uncertainty + 0.43 28.87 clock reconvergence pessimism + 0.14 29.01 library recovery time + 29.01 data required time +----------------------------------------------------------------------------- + 29.01 data required time + -16.65 data arrival time +----------------------------------------------------------------------------- + 12.36 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9659_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.92 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.97 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.98 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.22 16.20 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 16.21 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.05 16.26 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 16.26 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.10 16.36 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 16.36 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.12 16.49 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.36 0.17 16.65 ^ housekeeping/_9659_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 16.65 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 28.04 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.07 28.11 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 28.11 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.13 28.24 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 28.24 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.13 28.38 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.10 0.00 28.38 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.39 0.31 28.69 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.39 0.00 28.69 ^ housekeeping/_9659_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 28.44 clock uncertainty + 0.43 28.87 clock reconvergence pessimism + 0.14 29.02 library recovery time + 29.02 data required time +----------------------------------------------------------------------------- + 29.02 data required time + -16.65 data arrival time +----------------------------------------------------------------------------- + 12.36 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9665_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.92 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.97 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.98 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.22 16.20 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 16.21 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.05 16.26 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 16.26 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.10 16.36 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 16.36 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.12 16.49 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.36 0.17 16.65 ^ housekeeping/_9665_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 16.65 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 28.04 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.07 28.11 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 28.11 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.13 28.24 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 28.24 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.13 28.38 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.10 0.00 28.38 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.39 0.31 28.69 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.39 0.00 28.69 ^ housekeeping/_9665_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 28.44 clock uncertainty + 0.43 28.87 clock reconvergence pessimism + 0.14 29.02 library recovery time + 29.02 data required time +----------------------------------------------------------------------------- + 29.02 data required time + -16.65 data arrival time +----------------------------------------------------------------------------- + 12.36 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9666_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.92 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.97 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.98 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.22 16.20 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 16.21 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.05 16.26 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 16.26 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.10 16.36 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 16.36 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.12 16.49 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.36 0.16 16.65 ^ housekeeping/_9666_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 16.65 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 28.04 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.07 28.11 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 28.11 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.13 28.24 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 28.24 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.13 28.38 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.10 0.00 28.38 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.39 0.31 28.69 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.39 0.00 28.69 ^ housekeeping/_9666_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 28.44 clock uncertainty + 0.43 28.87 clock reconvergence pessimism + 0.14 29.02 library recovery time + 29.02 data required time +----------------------------------------------------------------------------- + 29.02 data required time + -16.65 data arrival time +----------------------------------------------------------------------------- + 12.37 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9064_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.92 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.97 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.98 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.22 16.20 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 16.21 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.05 16.26 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 16.26 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.10 16.36 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 16.36 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.12 16.49 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.35 0.16 16.65 ^ housekeeping/_9064_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 16.65 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 28.04 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.07 28.11 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 28.11 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.13 28.24 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 28.24 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.13 28.38 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.10 0.00 28.38 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.39 0.31 28.69 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.39 0.00 28.69 ^ housekeeping/_9064_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 28.44 clock uncertainty + 0.43 28.87 clock reconvergence pessimism + 0.14 29.02 library recovery time + 29.02 data required time +----------------------------------------------------------------------------- + 29.02 data required time + -16.65 data arrival time +----------------------------------------------------------------------------- + 12.37 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9063_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.92 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.97 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.98 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.22 16.20 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 16.21 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.05 16.26 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 16.26 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.10 16.36 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 16.36 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.12 16.49 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.35 0.16 16.65 ^ housekeeping/_9063_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 16.65 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 28.04 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.07 28.11 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 28.11 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.13 28.24 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 28.24 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.13 28.38 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.10 0.00 28.38 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.39 0.31 28.69 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.39 0.00 28.69 ^ housekeeping/_9063_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 28.44 clock uncertainty + 0.43 28.87 clock reconvergence pessimism + 0.14 29.02 library recovery time + 29.02 data required time +----------------------------------------------------------------------------- + 29.02 data required time + -16.65 data arrival time +----------------------------------------------------------------------------- + 12.37 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9061_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.92 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.97 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.98 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.22 16.20 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 16.21 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.05 16.26 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 16.26 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.10 16.36 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 16.36 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.12 16.49 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.35 0.16 16.65 ^ housekeeping/_9061_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 16.65 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 28.04 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.07 28.11 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 28.11 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.13 28.24 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 28.24 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.13 28.38 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.10 0.00 28.38 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.39 0.31 28.69 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.39 0.00 28.69 ^ housekeeping/_9061_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 28.44 clock uncertainty + 0.43 28.87 clock reconvergence pessimism + 0.14 29.02 library recovery time + 29.02 data required time +----------------------------------------------------------------------------- + 29.02 data required time + -16.65 data arrival time +----------------------------------------------------------------------------- + 12.37 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9060_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.92 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.97 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.98 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.22 16.20 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 16.21 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.05 16.26 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 16.26 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.10 16.36 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 16.36 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.12 16.49 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.35 0.16 16.65 ^ housekeeping/_9060_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 16.65 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 28.04 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.07 28.11 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 28.11 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.13 28.24 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 28.24 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.13 28.38 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.10 0.00 28.38 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.39 0.31 28.69 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.39 0.00 28.69 ^ housekeeping/_9060_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 28.44 clock uncertainty + 0.43 28.87 clock reconvergence pessimism + 0.14 29.02 library recovery time + 29.02 data required time +----------------------------------------------------------------------------- + 29.02 data required time + -16.65 data arrival time +----------------------------------------------------------------------------- + 12.37 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9062_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.92 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.97 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.98 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.22 16.20 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 16.21 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.05 16.26 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 16.26 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.10 16.36 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 16.36 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.12 16.49 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.34 0.15 16.64 ^ housekeeping/_9062_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 16.64 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 28.04 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.03 0.07 28.11 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.03 0.00 28.11 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.13 28.24 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.13 0.00 28.24 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.13 28.38 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.10 0.00 28.38 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.39 0.31 28.69 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.10 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.39 0.00 28.69 ^ housekeeping/_9062_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 28.44 clock uncertainty + 0.43 28.87 clock reconvergence pessimism + 0.14 29.02 library recovery time + 29.02 data required time +----------------------------------------------------------------------------- + 29.02 data required time + -16.64 data arrival time +----------------------------------------------------------------------------- + 12.38 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9678_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.92 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.97 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.98 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.22 16.20 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 16.21 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.05 16.26 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 16.26 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.10 16.36 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 16.36 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.12 16.49 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.27 0.10 16.58 ^ housekeeping/_9678_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 16.58 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 28.04 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.07 28.11 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.04 0.00 28.11 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.12 28.24 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.12 0.00 28.24 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.14 28.38 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.12 0.00 28.38 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.29 0.25 28.64 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.29 0.01 28.65 ^ housekeeping/_9678_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 28.40 clock uncertainty + 0.43 28.83 clock reconvergence pessimism + 0.14 28.97 library recovery time + 28.97 data required time +----------------------------------------------------------------------------- + 28.97 data required time + -16.58 data arrival time +----------------------------------------------------------------------------- + 12.38 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9675_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.92 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.97 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.98 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.22 16.20 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 16.21 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.05 16.26 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 16.26 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.10 16.36 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 16.36 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.12 16.49 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.26 0.09 16.58 ^ housekeeping/_9675_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 16.58 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 28.04 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.07 28.11 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.04 0.00 28.11 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.12 28.24 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.12 0.00 28.24 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.14 28.38 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.12 0.00 28.38 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.29 0.25 28.64 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.29 0.01 28.65 ^ housekeeping/_9675_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 28.40 clock uncertainty + 0.43 28.83 clock reconvergence pessimism + 0.14 28.97 library recovery time + 28.97 data required time +----------------------------------------------------------------------------- + 28.97 data required time + -16.58 data arrival time +----------------------------------------------------------------------------- + 12.39 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9677_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.92 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.97 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.98 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.22 16.20 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 16.21 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.05 16.26 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 16.26 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.10 16.36 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 16.36 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.12 16.49 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.26 0.09 16.58 ^ housekeeping/_9677_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 16.58 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 28.04 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.07 28.11 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.04 0.00 28.11 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.12 28.24 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.12 0.00 28.24 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.14 28.38 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.12 0.00 28.38 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.29 0.25 28.64 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.29 0.01 28.65 ^ housekeeping/_9677_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 28.40 clock uncertainty + 0.43 28.83 clock reconvergence pessimism + 0.14 28.97 library recovery time + 28.97 data required time +----------------------------------------------------------------------------- + 28.97 data required time + -16.58 data arrival time +----------------------------------------------------------------------------- + 12.39 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9679_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.92 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.97 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.98 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.22 16.20 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 16.21 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.05 16.26 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 16.26 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.10 16.36 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 16.36 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.12 16.49 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.26 0.09 16.58 ^ housekeeping/_9679_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 16.58 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 28.04 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.07 28.11 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.04 0.00 28.11 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.12 28.24 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.12 0.00 28.24 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.14 28.38 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.12 0.00 28.38 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.29 0.25 28.64 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.29 0.01 28.65 ^ housekeeping/_9679_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 28.40 clock uncertainty + 0.43 28.83 clock reconvergence pessimism + 0.14 28.97 library recovery time + 28.97 data required time +----------------------------------------------------------------------------- + 28.97 data required time + -16.58 data arrival time +----------------------------------------------------------------------------- + 12.40 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9681_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.92 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.97 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.98 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.22 16.20 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 16.21 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.05 16.26 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 16.26 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.10 16.36 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 16.36 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.12 16.49 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.25 0.09 16.57 ^ housekeeping/_9681_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 16.57 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 28.04 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.07 28.11 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.04 0.00 28.11 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.12 28.24 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.12 0.00 28.24 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.14 28.38 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.12 0.00 28.38 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.29 0.25 28.64 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.29 0.01 28.65 ^ housekeeping/_9681_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 28.40 clock uncertainty + 0.43 28.83 clock reconvergence pessimism + 0.14 28.97 library recovery time + 28.97 data required time +----------------------------------------------------------------------------- + 28.97 data required time + -16.57 data arrival time +----------------------------------------------------------------------------- + 12.40 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9676_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.92 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.97 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.98 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.22 16.20 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 16.21 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.05 16.26 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 16.26 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.10 16.36 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 16.36 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.12 16.49 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.25 0.08 16.57 ^ housekeeping/_9676_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 16.57 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 28.04 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.07 28.11 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.04 0.00 28.11 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.12 28.24 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.12 0.00 28.24 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.14 28.38 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.12 0.00 28.38 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.29 0.25 28.64 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.29 0.01 28.64 ^ housekeeping/_9676_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 28.39 clock uncertainty + 0.43 28.83 clock reconvergence pessimism + 0.14 28.97 library recovery time + 28.97 data required time +----------------------------------------------------------------------------- + 28.97 data required time + -16.57 data arrival time +----------------------------------------------------------------------------- + 12.40 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9680_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.01 15.92 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.03 0.05 15.97 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.03 0.00 15.98 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.02 0.22 16.20 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.02 0.00 16.21 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.05 0.05 16.26 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.05 0.00 16.26 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.06 0.10 16.36 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.06 0.00 16.36 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.18 0.12 16.49 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.24 0.08 16.57 ^ housekeeping/_9680_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 16.57 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.04 0.00 28.04 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.07 28.11 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.04 0.00 28.11 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.12 28.24 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.12 0.00 28.24 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.14 28.38 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.12 0.00 28.38 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.29 0.25 28.64 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.29 0.01 28.64 ^ housekeeping/_9680_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 28.39 clock uncertainty + 0.43 28.83 clock reconvergence pessimism + 0.15 28.97 library recovery time + 28.97 data required time +----------------------------------------------------------------------------- + 28.97 data required time + -16.57 data arrival time +----------------------------------------------------------------------------- + 12.41 slack (MET) + + +Startpoint: soc/core/_38911_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: flash_csb (output port clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.13 3.68 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.68 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.78 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 3.78 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.87 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 3.87 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.96 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 3.96 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 4.06 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 4.06 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.17 4.23 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 4.24 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.36 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 4.37 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.16 4.52 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 4.52 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.64 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.04 0.00 4.64 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.73 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.03 0.00 4.73 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.14 4.87 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.10 0.01 4.87 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.15 5.02 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.08 0.00 5.02 ^ soc/core/clkbuf_5_30_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 5.12 ^ soc/core/clkbuf_5_30_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_30_0_core_clk (net) + 0.03 0.00 5.12 ^ soc/core/clkbuf_5_30_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.60 0.48 5.61 ^ soc/core/clkbuf_5_30_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.27 soc/core/clknet_5_30_1_core_clk (net) + 0.60 0.04 5.65 ^ soc/core/clkbuf_leaf_175_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.18 5.83 ^ soc/core/clkbuf_leaf_175_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_175_core_clk (net) + 0.08 0.00 5.83 ^ soc/core/_38911_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.07 0.26 6.09 v soc/core/_38911_/Q (sky130_fd_sc_hd__dfxtp_1) + 4 0.02 soc/core/mgmtsoc_litespisdrphycore_count[0] (net) + 0.07 0.00 6.09 v soc/core/_20112_/C (sky130_fd_sc_hd__nor3_4) + 0.32 0.27 6.35 ^ soc/core/_20112_/Y (sky130_fd_sc_hd__nor3_4) + 6 0.04 soc/core/_09403_ (net) + 0.32 0.00 6.36 ^ soc/core/_20115_/A (sky130_fd_sc_hd__nand2_8) + 0.11 0.08 6.44 v soc/core/_20115_/Y (sky130_fd_sc_hd__nand2_8) + 2 0.06 soc/core/net242 (net) + 0.11 0.01 6.45 v soc/core/output242/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.12 6.57 v soc/core/output242/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 flash_csb_core (net) + 0.02 0.00 6.57 v housekeeping/input84/A (sky130_fd_sc_hd__buf_4) + 0.07 0.13 6.70 v housekeeping/input84/X (sky130_fd_sc_hd__buf_4) + 1 0.07 housekeeping/net84 (net) + 0.08 0.02 6.72 v housekeeping/_8836_/A0 (sky130_fd_sc_hd__mux2_2) + 0.06 0.20 6.92 v housekeeping/_8836_/X (sky130_fd_sc_hd__mux2_2) + 1 0.02 housekeeping/net252 (net) + 0.06 0.00 6.92 v housekeeping/output252/A (sky130_fd_sc_hd__buf_2) + 0.07 0.14 7.06 v housekeeping/output252/X (sky130_fd_sc_hd__buf_2) + 1 0.04 flash_csb_frame (net) + 0.07 0.00 7.06 v padframe/flash_csb_pad/OUT (sky130_ef_io__gpiov2_pad_wrapped) + 11.67 8.01 15.07 v padframe/flash_csb_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 7 1.08 flash_csb (net) + 11.67 0.00 15.07 v flash_csb (out) + 15.07 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock network delay (propagated) + -0.25 24.75 clock uncertainty + 0.00 24.75 clock reconvergence pessimism + -5.00 19.75 output external delay + 19.75 data required time +----------------------------------------------------------------------------- + 19.75 data required time + -15.07 data arrival time +----------------------------------------------------------------------------- + 4.68 slack (MET) + + +Startpoint: soc/core/_34932_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: flash_clk (output port clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.13 3.68 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.68 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.78 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 3.78 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.87 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 3.87 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.96 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 3.96 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 4.06 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 4.06 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.17 4.23 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 4.24 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.36 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 4.37 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.16 4.52 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 4.52 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.64 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.04 0.00 4.64 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.73 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.03 0.00 4.73 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.14 4.87 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.10 0.01 4.87 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.15 5.02 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.08 0.00 5.02 ^ soc/core/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 5.12 ^ soc/core/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_31_0_core_clk (net) + 0.03 0.00 5.12 ^ soc/core/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.71 0.56 5.68 ^ soc/core/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 38 0.32 soc/core/clknet_5_31_1_core_clk (net) + 0.71 0.04 5.72 ^ soc/core/clkbuf_leaf_186_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.18 5.89 ^ soc/core/clkbuf_leaf_186_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.06 soc/core/clknet_leaf_186_core_clk (net) + 0.08 0.00 5.89 ^ soc/core/_34932_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.04 0.23 6.12 v soc/core/_34932_/Q (sky130_fd_sc_hd__dfxtp_1) + 1 0.01 soc/core/net241 (net) + 0.04 0.00 6.12 v soc/core/output241/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.09 6.21 v soc/core/output241/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 flash_clk_core (net) + 0.02 0.00 6.21 v housekeeping/input83/A (sky130_fd_sc_hd__buf_6) + 0.08 0.13 6.34 v housekeeping/input83/X (sky130_fd_sc_hd__buf_6) + 15 0.12 housekeeping/net83 (net) + 0.08 0.01 6.35 v housekeeping/_8837_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.18 6.53 v housekeeping/_8837_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 housekeeping/net250 (net) + 0.05 0.00 6.53 v housekeeping/output250/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.17 6.70 v housekeeping/output250/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.04 flash_clk_frame (net) + 0.14 0.00 6.70 v padframe/flash_clk_pad/OUT (sky130_ef_io__gpiov2_pad_wrapped) + 11.67 8.05 14.75 v padframe/flash_clk_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 7 1.08 flash_clk (net) + 11.67 0.00 14.75 v flash_clk (out) + 14.75 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock network delay (propagated) + -0.25 24.75 clock uncertainty + 0.00 24.75 clock reconvergence pessimism + -5.00 19.75 output external delay + 19.75 data required time +----------------------------------------------------------------------------- + 19.75 data required time + -14.75 data arrival time +----------------------------------------------------------------------------- + 5.00 slack (MET) + + +Startpoint: soc/core/_34930_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: flash_io0 (output port clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.91 1.91 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.99 2.90 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 2.90 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 2.99 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 2.99 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 3.04 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 3.05 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.08 3.12 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 3.13 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.21 3.33 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 3.34 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.21 3.55 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 3.55 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.13 3.68 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 3.68 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.78 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 3.78 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 3.87 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 3.87 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 3.96 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 3.96 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 4.06 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 4.06 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.17 4.23 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 4.24 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.36 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 4.37 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.16 4.52 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 4.52 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.64 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.04 0.00 4.64 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 4.73 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.03 0.00 4.73 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.14 4.87 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.10 0.01 4.87 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.15 5.02 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.08 0.00 5.02 ^ soc/core/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 5.12 ^ soc/core/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_31_0_core_clk (net) + 0.03 0.00 5.12 ^ soc/core/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.71 0.56 5.68 ^ soc/core/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 38 0.32 soc/core/clknet_5_31_1_core_clk (net) + 0.71 0.04 5.72 ^ soc/core/clkbuf_leaf_184_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.16 5.88 ^ soc/core/clkbuf_leaf_184_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 16 0.04 soc/core/clknet_leaf_184_core_clk (net) + 0.06 0.00 5.88 ^ soc/core/_34930_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.03 0.22 6.10 v soc/core/_34930_/Q (sky130_fd_sc_hd__dfxtp_1) + 1 0.01 soc/core/net243 (net) + 0.03 0.00 6.10 v soc/core/output243/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.09 6.18 v soc/core/output243/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 flash_io0_do_core (net) + 0.02 0.00 6.18 v housekeeping/input85/A (sky130_fd_sc_hd__buf_4) + 0.09 0.15 6.33 v housekeeping/input85/X (sky130_fd_sc_hd__buf_4) + 5 0.09 housekeeping/net85 (net) + 0.09 0.01 6.34 v housekeeping/_8838_/A0 (sky130_fd_sc_hd__mux2_2) + 0.06 0.21 6.55 v housekeeping/_8838_/X (sky130_fd_sc_hd__mux2_2) + 1 0.02 housekeeping/net254 (net) + 0.06 0.00 6.55 v housekeeping/output254/A (sky130_fd_sc_hd__buf_2) + 0.07 0.14 6.69 v housekeeping/output254/X (sky130_fd_sc_hd__buf_2) + 1 0.04 flash_io0_do (net) + 0.07 0.00 6.69 v padframe/flash_io0_pad/OUT (sky130_ef_io__gpiov2_pad_wrapped) + 11.68 8.01 14.70 v padframe/flash_io0_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 7 1.08 flash_io0 (net) + 11.68 0.00 14.70 v flash_io0 (out) + 14.70 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock network delay (propagated) + -0.25 24.75 clock uncertainty + 0.00 24.75 clock reconvergence pessimism + -5.00 19.75 output external delay + 19.75 data required time +----------------------------------------------------------------------------- + 19.75 data required time + -14.70 data arrival time +----------------------------------------------------------------------------- + 5.05 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_39301_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.00 15.91 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.16 16.08 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.02 caravel_clk (net) + 0.10 0.00 16.08 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 16.21 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.03 0.00 16.21 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 16.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.41 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.03 0.00 16.41 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.51 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 16.51 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 16.60 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 16.60 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 16.75 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.12 0.03 16.78 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 16.90 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.02 0.00 16.90 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 17.04 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.07 0.00 17.04 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 17.16 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.03 0.00 17.17 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 17.26 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 17.26 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.13 17.40 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.07 0.01 17.40 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 17.55 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.05 0.00 17.55 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.10 17.65 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.02 0.00 17.65 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.33 0.32 17.97 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.25 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.06 18.02 v soc/core/clkbuf_leaf_22_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.27 v soc/core/clkbuf_leaf_22_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_22_core_clk (net) + 0.07 0.00 18.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.03 0.70 18.97 ^ soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[16] (sky130_sram_2kbyte_1rw1r_32x512_8) + 2 0.05 soc/core/sram_bus_dat_r[16] (net) + 0.02 0.00 18.97 ^ soc/core/repeater2450/A (sky130_fd_sc_hd__buf_2) + 0.18 0.18 19.15 ^ soc/core/repeater2450/X (sky130_fd_sc_hd__buf_2) + 2 0.05 soc/core/net2450 (net) + 0.18 0.00 19.15 ^ soc/core/repeater2449/A (sky130_fd_sc_hd__buf_2) + 0.15 0.19 19.34 ^ soc/core/repeater2449/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2449 (net) + 0.15 0.01 19.35 ^ soc/core/repeater2448/A (sky130_fd_sc_hd__buf_2) + 0.13 0.17 19.52 ^ soc/core/repeater2448/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2448 (net) + 0.13 0.01 19.53 ^ soc/core/repeater2447/A (sky130_fd_sc_hd__buf_2) + 0.14 0.17 19.70 ^ soc/core/repeater2447/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2447 (net) + 0.14 0.01 19.71 ^ soc/core/repeater2446/A (sky130_fd_sc_hd__buf_2) + 0.13 0.17 19.88 ^ soc/core/repeater2446/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2446 (net) + 0.13 0.01 19.88 ^ soc/core/_18541_/A2 (sky130_fd_sc_hd__a21o_1) + 0.14 0.17 20.05 ^ soc/core/_18541_/X (sky130_fd_sc_hd__a21o_1) + 1 0.02 soc/core/_08311_ (net) + 0.14 0.00 20.05 ^ soc/core/_18542_/C1 (sky130_fd_sc_hd__a221oi_4) + 0.11 0.01 20.07 v soc/core/_18542_/Y (sky130_fd_sc_hd__a221oi_4) + 1 0.00 soc/core/_08312_ (net) + 0.11 0.00 20.07 v soc/core/hold1139/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.44 20.51 v soc/core/hold1139/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3988 (net) + 0.06 0.00 20.51 v soc/core/_18545_/C1 (sky130_fd_sc_hd__o2111ai_4) + 0.12 0.07 20.57 ^ soc/core/_18545_/Y (sky130_fd_sc_hd__o2111ai_4) + 1 0.00 soc/core/VexRiscv.dBusWishbone_DAT_MISO[16] (net) + 0.12 0.00 20.57 ^ soc/core/hold1135/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.05 0.41 20.99 ^ soc/core/hold1135/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.00 soc/core/net3985 (net) + 0.05 0.00 20.99 ^ soc/core/hold1136/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.41 21.39 ^ soc/core/hold1136/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3986 (net) + 0.06 0.00 21.39 ^ soc/core/hold1137/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.05 0.41 21.81 ^ soc/core/hold1137/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3987 (net) + 0.05 0.00 21.81 ^ soc/core/hold1138/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.16 21.96 ^ soc/core/hold1138/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/net3984 (net) + 0.11 0.00 21.97 ^ soc/core/_18547_/A2 (sky130_fd_sc_hd__a211o_1) + 0.12 0.16 22.12 ^ soc/core/_18547_/X (sky130_fd_sc_hd__a211o_1) + 1 0.02 soc/core/_08316_ (net) + 0.12 0.00 22.13 ^ soc/core/_18548_/C1 (sky130_fd_sc_hd__o211a_1) + 0.03 0.08 22.20 ^ soc/core/_18548_/X (sky130_fd_sc_hd__o211a_1) + 1 0.00 soc/core/_06767_ (net) + 0.03 0.00 22.20 ^ soc/core/_39301_/D (sky130_fd_sc_hd__dfxtp_2) + 22.20 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 28.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 28.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 28.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 28.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 28.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 28.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 28.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 28.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 28.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 28.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 28.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 28.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 28.80 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.91 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.04 0.00 28.91 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.99 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.03 0.00 28.99 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.12 29.11 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.10 0.01 29.12 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 29.25 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.08 0.00 29.25 ^ soc/core/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 29.34 ^ soc/core/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_28_0_core_clk (net) + 0.03 0.00 29.34 ^ soc/core/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.57 0.43 29.77 ^ soc/core/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.26 soc/core/clknet_5_28_1_core_clk (net) + 0.58 0.03 29.80 ^ soc/core/clkbuf_leaf_225_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.15 29.95 ^ soc/core/clkbuf_leaf_225_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 13 0.05 soc/core/clknet_leaf_225_core_clk (net) + 0.06 0.00 29.95 ^ soc/core/_39301_/CLK (sky130_fd_sc_hd__dfxtp_2) + -0.25 29.70 clock uncertainty + 0.46 30.16 clock reconvergence pessimism + -0.03 30.13 library setup time + 30.13 data required time +----------------------------------------------------------------------------- + 30.13 data required time + -22.20 data arrival time +----------------------------------------------------------------------------- + 7.93 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_39289_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.00 15.91 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.16 16.08 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.02 caravel_clk (net) + 0.10 0.00 16.08 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 16.21 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.03 0.00 16.21 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 16.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.41 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.03 0.00 16.41 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.51 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 16.51 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 16.60 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 16.60 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 16.75 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.12 0.03 16.78 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 16.90 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.02 0.00 16.90 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 17.04 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.07 0.00 17.04 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 17.16 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.03 0.00 17.17 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 17.26 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 17.26 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.13 17.40 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.07 0.01 17.40 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 17.55 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.05 0.00 17.55 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.10 17.65 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.02 0.00 17.65 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.33 0.32 17.97 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.25 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.06 18.02 v soc/core/clkbuf_leaf_22_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.27 v soc/core/clkbuf_leaf_22_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_22_core_clk (net) + 0.07 0.00 18.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.01 0.47 18.74 ^ soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[4] (sky130_sram_2kbyte_1rw1r_32x512_8) + 1 0.01 soc/core/sram_bus_dat_r[4] (net) + 0.01 0.00 18.74 ^ soc/core/repeater2339/A (sky130_fd_sc_hd__buf_2) + 0.12 0.13 18.87 ^ soc/core/repeater2339/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2339 (net) + 0.12 0.00 18.88 ^ soc/core/repeater2338/A (sky130_fd_sc_hd__buf_2) + 0.17 0.20 19.07 ^ soc/core/repeater2338/X (sky130_fd_sc_hd__buf_2) + 2 0.05 soc/core/net2338 (net) + 0.17 0.00 19.07 ^ soc/core/repeater2337/A (sky130_fd_sc_hd__buf_2) + 0.17 0.20 19.27 ^ soc/core/repeater2337/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2337 (net) + 0.17 0.01 19.28 ^ soc/core/repeater2336/A (sky130_fd_sc_hd__buf_2) + 0.13 0.17 19.45 ^ soc/core/repeater2336/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2336 (net) + 0.13 0.01 19.46 ^ soc/core/repeater2335/A (sky130_fd_sc_hd__buf_2) + 0.11 0.15 19.61 ^ soc/core/repeater2335/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2335 (net) + 0.11 0.00 19.62 ^ soc/core/repeater2334/A (sky130_fd_sc_hd__buf_2) + 0.13 0.16 19.78 ^ soc/core/repeater2334/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2334 (net) + 0.13 0.01 19.78 ^ soc/core/repeater2333/A (sky130_fd_sc_hd__buf_2) + 0.13 0.17 19.96 ^ soc/core/repeater2333/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2333 (net) + 0.13 0.01 19.96 ^ soc/core/_18674_/B (sky130_fd_sc_hd__and2_1) + 0.06 0.11 20.07 ^ soc/core/_18674_/X (sky130_fd_sc_hd__and2_1) + 1 0.01 soc/core/_08420_ (net) + 0.06 0.00 20.07 ^ soc/core/_18675_/C1 (sky130_fd_sc_hd__a221o_1) + 0.09 0.11 20.18 ^ soc/core/_18675_/X (sky130_fd_sc_hd__a221o_1) + 1 0.01 soc/core/_08421_ (net) + 0.09 0.00 20.18 ^ soc/core/_18676_/C1 (sky130_fd_sc_hd__a221oi_4) + 0.14 0.03 20.22 v soc/core/_18676_/Y (sky130_fd_sc_hd__a221oi_4) + 1 0.02 soc/core/_08422_ (net) + 0.14 0.00 20.22 v soc/core/_18677_/C1 (sky130_fd_sc_hd__o2111ai_4) + 0.11 0.10 20.31 ^ soc/core/_18677_/Y (sky130_fd_sc_hd__o2111ai_4) + 1 0.00 soc/core/VexRiscv.dBusWishbone_DAT_MISO[4] (net) + 0.11 0.00 20.31 ^ soc/core/hold979/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.05 0.41 20.73 ^ soc/core/hold979/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.00 soc/core/net3829 (net) + 0.05 0.00 20.73 ^ soc/core/hold980/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.07 0.42 21.15 ^ soc/core/hold980/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3830 (net) + 0.07 0.00 21.15 ^ soc/core/hold981/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.04 0.40 21.55 ^ soc/core/hold981/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.00 soc/core/net3831 (net) + 0.04 0.00 21.55 ^ soc/core/hold982/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.08 0.43 21.98 ^ soc/core/hold982/X (sky130_fd_sc_hd__dlygate4sd3_1) + 2 0.01 soc/core/net3828 (net) + 0.08 0.00 21.98 ^ soc/core/_18678_/A2_N (sky130_fd_sc_hd__o2bb2ai_1) + 0.09 0.08 22.05 ^ soc/core/_18678_/Y (sky130_fd_sc_hd__o2bb2ai_1) + 1 0.00 soc/core/_08423_ (net) + 0.09 0.00 22.06 ^ soc/core/_18679_/B1 (sky130_fd_sc_hd__a21o_1) + 0.04 0.06 22.12 ^ soc/core/_18679_/X (sky130_fd_sc_hd__a21o_1) + 1 0.00 soc/core/_08424_ (net) + 0.04 0.00 22.12 ^ soc/core/_18680_/C1 (sky130_fd_sc_hd__o211a_1) + 0.03 0.06 22.18 ^ soc/core/_18680_/X (sky130_fd_sc_hd__o211a_1) + 1 0.00 soc/core/_06755_ (net) + 0.03 0.00 22.18 ^ soc/core/_39289_/D (sky130_fd_sc_hd__dfxtp_2) + 22.18 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 28.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 28.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 28.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 28.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 28.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 28.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 28.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 28.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 28.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 28.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 28.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 28.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 28.80 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.91 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.04 0.00 28.91 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.99 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.03 0.00 28.99 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.12 29.11 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.09 0.00 29.12 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 29.25 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.08 0.00 29.25 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 29.34 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.03 0.00 29.34 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.62 0.44 29.78 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.28 soc/core/clknet_5_25_1_core_clk (net) + 0.62 0.03 29.81 ^ soc/core/clkbuf_leaf_240_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.07 0.15 29.96 ^ soc/core/clkbuf_leaf_240_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 18 0.05 soc/core/clknet_leaf_240_core_clk (net) + 0.07 0.00 29.97 ^ soc/core/_39289_/CLK (sky130_fd_sc_hd__dfxtp_2) + -0.25 29.72 clock uncertainty + 0.46 30.18 clock reconvergence pessimism + -0.03 30.15 library setup time + 30.15 data required time +----------------------------------------------------------------------------- + 30.15 data required time + -22.18 data arrival time +----------------------------------------------------------------------------- + 7.96 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_39286_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.00 15.91 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.16 16.08 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.02 caravel_clk (net) + 0.10 0.00 16.08 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 16.21 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.03 0.00 16.21 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 16.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.41 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.03 0.00 16.41 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.51 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 16.51 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 16.60 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 16.60 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 16.75 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.12 0.03 16.78 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 16.90 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.02 0.00 16.90 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 17.04 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.07 0.00 17.04 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 17.16 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.03 0.00 17.17 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 17.26 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 17.26 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.13 17.40 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.07 0.01 17.40 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 17.55 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.05 0.00 17.55 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.10 17.65 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.02 0.00 17.65 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.33 0.32 17.97 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.25 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.06 18.02 v soc/core/clkbuf_leaf_22_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.27 v soc/core/clkbuf_leaf_22_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_22_core_clk (net) + 0.07 0.00 18.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.01 0.46 18.73 ^ soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[1] (sky130_sram_2kbyte_1rw1r_32x512_8) + 1 0.01 soc/core/sram_bus_dat_r[1] (net) + 0.01 0.00 18.73 ^ soc/core/repeater2427/A (sky130_fd_sc_hd__buf_2) + 0.19 0.18 18.92 ^ soc/core/repeater2427/X (sky130_fd_sc_hd__buf_2) + 2 0.05 soc/core/net2427 (net) + 0.19 0.00 18.92 ^ soc/core/repeater2426/A (sky130_fd_sc_hd__buf_2) + 0.12 0.17 19.09 ^ soc/core/repeater2426/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2426 (net) + 0.12 0.01 19.09 ^ soc/core/repeater2425/A (sky130_fd_sc_hd__buf_2) + 0.25 0.26 19.35 ^ soc/core/repeater2425/X (sky130_fd_sc_hd__buf_2) + 2 0.07 soc/core/net2425 (net) + 0.25 0.00 19.35 ^ soc/core/repeater2424/A (sky130_fd_sc_hd__buf_2) + 0.11 0.17 19.52 ^ soc/core/repeater2424/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2424 (net) + 0.11 0.00 19.52 ^ soc/core/repeater2423/A (sky130_fd_sc_hd__buf_2) + 0.13 0.16 19.69 ^ soc/core/repeater2423/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2423 (net) + 0.13 0.01 19.69 ^ soc/core/repeater2422/A (sky130_fd_sc_hd__buf_2) + 0.13 0.17 19.86 ^ soc/core/repeater2422/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2422 (net) + 0.13 0.01 19.87 ^ soc/core/repeater2421/A (sky130_fd_sc_hd__buf_2) + 0.13 0.17 20.04 ^ soc/core/repeater2421/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2421 (net) + 0.13 0.01 20.04 ^ soc/core/_18708_/B2 (sky130_fd_sc_hd__a221o_1) + 0.08 0.14 20.18 ^ soc/core/_18708_/X (sky130_fd_sc_hd__a221o_1) + 1 0.01 soc/core/_08448_ (net) + 0.08 0.00 20.18 ^ soc/core/_18709_/C1 (sky130_fd_sc_hd__a221oi_4) + 0.14 0.03 20.21 v soc/core/_18709_/Y (sky130_fd_sc_hd__a221oi_4) + 1 0.02 soc/core/_08449_ (net) + 0.14 0.00 20.21 v soc/core/_18710_/C1 (sky130_fd_sc_hd__o2111ai_4) + 0.11 0.10 20.31 ^ soc/core/_18710_/Y (sky130_fd_sc_hd__o2111ai_4) + 1 0.00 soc/core/VexRiscv.dBusWishbone_DAT_MISO[1] (net) + 0.11 0.00 20.31 ^ soc/core/hold1101/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.05 0.41 20.72 ^ soc/core/hold1101/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.00 soc/core/net3951 (net) + 0.05 0.00 20.72 ^ soc/core/hold1102/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.04 0.40 21.12 ^ soc/core/hold1102/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.00 soc/core/net3952 (net) + 0.04 0.00 21.12 ^ soc/core/hold1103/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.41 21.52 ^ soc/core/hold1103/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3953 (net) + 0.06 0.00 21.52 ^ soc/core/hold1104/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.08 0.43 21.96 ^ soc/core/hold1104/X (sky130_fd_sc_hd__dlygate4sd3_1) + 2 0.01 soc/core/net3950 (net) + 0.08 0.00 21.96 ^ soc/core/_18711_/A2_N (sky130_fd_sc_hd__o2bb2ai_1) + 0.09 0.08 22.03 ^ soc/core/_18711_/Y (sky130_fd_sc_hd__o2bb2ai_1) + 1 0.00 soc/core/_08450_ (net) + 0.09 0.00 22.03 ^ soc/core/_18712_/B1 (sky130_fd_sc_hd__a21o_1) + 0.04 0.06 22.10 ^ soc/core/_18712_/X (sky130_fd_sc_hd__a21o_1) + 1 0.00 soc/core/_08451_ (net) + 0.04 0.00 22.10 ^ soc/core/_18713_/C1 (sky130_fd_sc_hd__o211a_1) + 0.03 0.06 22.16 ^ soc/core/_18713_/X (sky130_fd_sc_hd__o211a_1) + 1 0.00 soc/core/_06752_ (net) + 0.03 0.00 22.16 ^ soc/core/_39286_/D (sky130_fd_sc_hd__dfxtp_4) + 22.16 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 28.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 28.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 28.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 28.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 28.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 28.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 28.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 28.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 28.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 28.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 28.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 28.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 28.80 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.91 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.04 0.00 28.91 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.99 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.03 0.00 28.99 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.12 29.11 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.09 0.00 29.12 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 29.25 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.08 0.00 29.25 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 29.34 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.03 0.00 29.34 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.62 0.44 29.78 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.28 soc/core/clknet_5_25_1_core_clk (net) + 0.62 0.02 29.80 ^ soc/core/clkbuf_leaf_238_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.16 29.96 ^ soc/core/clkbuf_leaf_238_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 28 0.07 soc/core/clknet_leaf_238_core_clk (net) + 0.08 0.00 29.96 ^ soc/core/_39286_/CLK (sky130_fd_sc_hd__dfxtp_4) + -0.25 29.71 clock uncertainty + 0.46 30.18 clock reconvergence pessimism + -0.03 30.15 library setup time + 30.15 data required time +----------------------------------------------------------------------------- + 30.15 data required time + -22.16 data arrival time +----------------------------------------------------------------------------- + 7.99 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_39300_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.00 15.91 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.16 16.08 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.02 caravel_clk (net) + 0.10 0.00 16.08 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 16.21 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.03 0.00 16.21 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 16.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.41 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.03 0.00 16.41 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.51 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 16.51 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 16.60 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 16.60 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 16.75 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.12 0.03 16.78 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 16.90 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.02 0.00 16.90 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 17.04 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.07 0.00 17.04 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 17.16 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.03 0.00 17.17 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 17.26 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 17.26 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.13 17.40 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.07 0.01 17.40 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 17.55 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.05 0.00 17.55 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.10 17.65 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.02 0.00 17.65 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.33 0.32 17.97 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.25 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.06 18.02 v soc/core/clkbuf_leaf_22_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.27 v soc/core/clkbuf_leaf_22_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_22_core_clk (net) + 0.07 0.00 18.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.02 0.65 18.93 ^ soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) + 2 0.04 soc/core/sram_bus_dat_r[15] (net) + 0.02 0.01 18.93 ^ soc/core/repeater2455/A (sky130_fd_sc_hd__buf_2) + 0.18 0.18 19.11 ^ soc/core/repeater2455/X (sky130_fd_sc_hd__buf_2) + 2 0.05 soc/core/net2455 (net) + 0.18 0.00 19.11 ^ soc/core/repeater2454/A (sky130_fd_sc_hd__buf_2) + 0.17 0.20 19.32 ^ soc/core/repeater2454/X (sky130_fd_sc_hd__buf_2) + 2 0.05 soc/core/net2454 (net) + 0.17 0.00 19.32 ^ soc/core/repeater2453/A (sky130_fd_sc_hd__buf_2) + 0.14 0.18 19.50 ^ soc/core/repeater2453/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2453 (net) + 0.14 0.01 19.51 ^ soc/core/repeater2452/A (sky130_fd_sc_hd__buf_2) + 0.16 0.19 19.70 ^ soc/core/repeater2452/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2452 (net) + 0.16 0.01 19.71 ^ soc/core/repeater2451/A (sky130_fd_sc_hd__buf_2) + 0.14 0.18 19.88 ^ soc/core/repeater2451/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2451 (net) + 0.14 0.00 19.88 ^ soc/core/_18555_/A2 (sky130_fd_sc_hd__a21o_1) + 0.11 0.15 20.03 ^ soc/core/_18555_/X (sky130_fd_sc_hd__a21o_1) + 1 0.02 soc/core/_08323_ (net) + 0.11 0.00 20.03 ^ soc/core/_18556_/C1 (sky130_fd_sc_hd__a221oi_4) + 0.11 0.01 20.05 v soc/core/_18556_/Y (sky130_fd_sc_hd__a221oi_4) + 1 0.00 soc/core/_08324_ (net) + 0.11 0.00 20.05 v soc/core/hold1119/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.44 20.49 v soc/core/hold1119/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3968 (net) + 0.06 0.00 20.49 v soc/core/_18557_/C1 (sky130_fd_sc_hd__o2111ai_4) + 0.12 0.07 20.55 ^ soc/core/_18557_/Y (sky130_fd_sc_hd__o2111ai_4) + 1 0.00 soc/core/VexRiscv.dBusWishbone_DAT_MISO[15] (net) + 0.12 0.00 20.55 ^ soc/core/hold1115/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.07 0.43 20.99 ^ soc/core/hold1115/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3965 (net) + 0.07 0.00 20.99 ^ soc/core/hold1116/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.42 21.40 ^ soc/core/hold1116/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3966 (net) + 0.06 0.00 21.40 ^ soc/core/hold1117/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.05 0.41 21.81 ^ soc/core/hold1117/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3967 (net) + 0.05 0.00 21.81 ^ soc/core/hold1118/A (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.14 0.15 21.96 ^ soc/core/hold1118/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 2 0.02 soc/core/net3964 (net) + 0.14 0.00 21.96 ^ soc/core/_18558_/B2 (sky130_fd_sc_hd__a221o_1) + 0.03 0.10 22.06 ^ soc/core/_18558_/X (sky130_fd_sc_hd__a221o_1) + 1 0.00 soc/core/_08325_ (net) + 0.03 0.00 22.06 ^ soc/core/_18559_/C1 (sky130_fd_sc_hd__o211a_1) + 0.03 0.07 22.12 ^ soc/core/_18559_/X (sky130_fd_sc_hd__o211a_1) + 1 0.00 soc/core/_06766_ (net) + 0.03 0.00 22.12 ^ soc/core/_39300_/D (sky130_fd_sc_hd__dfxtp_4) + 22.12 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 28.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 28.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 28.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 28.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 28.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 28.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 28.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 28.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 28.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 28.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 28.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 28.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 28.80 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.91 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.04 0.00 28.91 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.99 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.03 0.00 28.99 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.12 29.11 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.09 0.00 29.12 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 29.25 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.08 0.00 29.25 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 29.34 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.03 0.00 29.34 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.62 0.44 29.78 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.28 soc/core/clknet_5_25_1_core_clk (net) + 0.62 0.03 29.81 ^ soc/core/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.15 29.96 ^ soc/core/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 13 0.05 soc/core/clknet_leaf_243_core_clk (net) + 0.06 0.00 29.96 ^ soc/core/_39300_/CLK (sky130_fd_sc_hd__dfxtp_4) + -0.25 29.71 clock uncertainty + 0.46 30.17 clock reconvergence pessimism + -0.03 30.14 library setup time + 30.14 data required time +----------------------------------------------------------------------------- + 30.14 data required time + -22.12 data arrival time +----------------------------------------------------------------------------- + 8.02 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_39299_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.00 15.91 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.16 16.08 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.02 caravel_clk (net) + 0.10 0.00 16.08 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 16.21 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.03 0.00 16.21 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 16.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.41 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.03 0.00 16.41 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.51 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 16.51 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 16.60 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 16.60 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 16.75 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.12 0.03 16.78 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 16.90 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.02 0.00 16.90 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 17.04 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.07 0.00 17.04 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 17.16 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.03 0.00 17.17 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 17.26 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 17.26 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.13 17.40 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.07 0.01 17.40 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 17.55 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.05 0.00 17.55 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.10 17.65 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.02 0.00 17.65 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.33 0.32 17.97 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.25 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.06 18.02 v soc/core/clkbuf_leaf_22_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.27 v soc/core/clkbuf_leaf_22_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_22_core_clk (net) + 0.07 0.00 18.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.03 0.67 18.94 ^ soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[14] (sky130_sram_2kbyte_1rw1r_32x512_8) + 2 0.05 soc/core/sram_bus_dat_r[14] (net) + 0.02 0.01 18.95 ^ soc/core/repeater2460/A (sky130_fd_sc_hd__buf_2) + 0.17 0.17 19.12 ^ soc/core/repeater2460/X (sky130_fd_sc_hd__buf_2) + 2 0.05 soc/core/net2460 (net) + 0.17 0.01 19.12 ^ soc/core/repeater2459/A (sky130_fd_sc_hd__buf_2) + 0.16 0.19 19.32 ^ soc/core/repeater2459/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2459 (net) + 0.16 0.00 19.32 ^ soc/core/repeater2458/A (sky130_fd_sc_hd__buf_2) + 0.14 0.18 19.50 ^ soc/core/repeater2458/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2458 (net) + 0.14 0.01 19.50 ^ soc/core/repeater2457/A (sky130_fd_sc_hd__buf_2) + 0.13 0.17 19.67 ^ soc/core/repeater2457/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2457 (net) + 0.13 0.01 19.68 ^ soc/core/repeater2456/A (sky130_fd_sc_hd__buf_2) + 0.14 0.18 19.85 ^ soc/core/repeater2456/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2456 (net) + 0.14 0.00 19.86 ^ soc/core/_18564_/A2 (sky130_fd_sc_hd__a21o_1) + 0.14 0.17 20.03 ^ soc/core/_18564_/X (sky130_fd_sc_hd__a21o_1) + 1 0.02 soc/core/_08330_ (net) + 0.14 0.00 20.03 ^ soc/core/_18565_/C1 (sky130_fd_sc_hd__a221oi_4) + 0.11 0.01 20.04 v soc/core/_18565_/Y (sky130_fd_sc_hd__a221oi_4) + 1 0.00 soc/core/_08331_ (net) + 0.11 0.00 20.04 v soc/core/hold1129/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.44 20.48 v soc/core/hold1129/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3978 (net) + 0.06 0.00 20.48 v soc/core/_18567_/C1 (sky130_fd_sc_hd__o2111ai_4) + 0.11 0.07 20.55 ^ soc/core/_18567_/Y (sky130_fd_sc_hd__o2111ai_4) + 1 0.00 soc/core/VexRiscv.dBusWishbone_DAT_MISO[14] (net) + 0.11 0.00 20.55 ^ soc/core/hold1125/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.43 20.98 ^ soc/core/hold1125/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3975 (net) + 0.06 0.00 20.98 ^ soc/core/hold1126/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.07 0.42 21.40 ^ soc/core/hold1126/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3976 (net) + 0.07 0.00 21.40 ^ soc/core/hold1127/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.41 21.81 ^ soc/core/hold1127/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3977 (net) + 0.06 0.00 21.81 ^ soc/core/hold1128/A (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.12 0.13 21.95 ^ soc/core/hold1128/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 2 0.01 soc/core/net3974 (net) + 0.12 0.00 21.95 ^ soc/core/_18568_/B2 (sky130_fd_sc_hd__a221o_1) + 0.04 0.10 22.05 ^ soc/core/_18568_/X (sky130_fd_sc_hd__a221o_1) + 1 0.00 soc/core/_08333_ (net) + 0.04 0.00 22.05 ^ soc/core/_18569_/C1 (sky130_fd_sc_hd__o211a_1) + 0.03 0.07 22.12 ^ soc/core/_18569_/X (sky130_fd_sc_hd__o211a_1) + 1 0.00 soc/core/_06765_ (net) + 0.03 0.00 22.12 ^ soc/core/_39299_/D (sky130_fd_sc_hd__dfxtp_2) + 22.12 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 28.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 28.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 28.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 28.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 28.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 28.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 28.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 28.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 28.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 28.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 28.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 28.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 28.80 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.91 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.04 0.00 28.91 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.99 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.03 0.00 28.99 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.12 29.11 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.09 0.00 29.12 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 29.25 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.08 0.00 29.25 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 29.34 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.03 0.00 29.34 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.62 0.44 29.78 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.28 soc/core/clknet_5_25_1_core_clk (net) + 0.62 0.03 29.81 ^ soc/core/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.15 29.96 ^ soc/core/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 13 0.05 soc/core/clknet_leaf_243_core_clk (net) + 0.06 0.00 29.96 ^ soc/core/_39299_/CLK (sky130_fd_sc_hd__dfxtp_2) + -0.25 29.71 clock uncertainty + 0.46 30.17 clock reconvergence pessimism + -0.03 30.14 library setup time + 30.14 data required time +----------------------------------------------------------------------------- + 30.14 data required time + -22.12 data arrival time +----------------------------------------------------------------------------- + 8.03 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_39291_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.00 15.91 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.16 16.08 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.02 caravel_clk (net) + 0.10 0.00 16.08 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 16.21 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.03 0.00 16.21 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 16.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.41 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.03 0.00 16.41 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.51 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 16.51 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 16.60 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 16.60 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 16.75 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.12 0.03 16.78 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 16.90 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.02 0.00 16.90 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 17.04 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.07 0.00 17.04 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 17.16 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.03 0.00 17.17 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 17.26 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 17.26 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.13 17.40 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.07 0.01 17.40 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 17.55 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.05 0.00 17.55 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.10 17.65 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.02 0.00 17.65 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.33 0.32 17.97 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.25 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.06 18.02 v soc/core/clkbuf_leaf_22_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.27 v soc/core/clkbuf_leaf_22_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_22_core_clk (net) + 0.07 0.00 18.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.01 0.54 18.82 ^ soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[6] (sky130_sram_2kbyte_1rw1r_32x512_8) + 2 0.03 soc/core/sram_bus_dat_r[6] (net) + 0.01 0.00 18.82 ^ soc/core/repeater2326/A (sky130_fd_sc_hd__buf_2) + 0.16 0.16 18.97 ^ soc/core/repeater2326/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2326 (net) + 0.16 0.01 18.98 ^ soc/core/repeater2325/A (sky130_fd_sc_hd__buf_2) + 0.15 0.19 19.17 ^ soc/core/repeater2325/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2325 (net) + 0.15 0.01 19.18 ^ soc/core/repeater2324/A (sky130_fd_sc_hd__buf_2) + 0.19 0.21 19.39 ^ soc/core/repeater2324/X (sky130_fd_sc_hd__buf_2) + 2 0.05 soc/core/net2324 (net) + 0.19 0.00 19.39 ^ soc/core/repeater2323/A (sky130_fd_sc_hd__buf_2) + 0.13 0.18 19.57 ^ soc/core/repeater2323/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2323 (net) + 0.14 0.01 19.58 ^ soc/core/repeater2322/A (sky130_fd_sc_hd__buf_2) + 0.13 0.17 19.75 ^ soc/core/repeater2322/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2322 (net) + 0.13 0.01 19.75 ^ soc/core/repeater2321/A (sky130_fd_sc_hd__buf_2) + 0.12 0.17 19.92 ^ soc/core/repeater2321/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2321 (net) + 0.12 0.00 19.92 ^ soc/core/_18650_/B (sky130_fd_sc_hd__and2_1) + 0.05 0.10 20.02 ^ soc/core/_18650_/X (sky130_fd_sc_hd__and2_1) + 1 0.00 soc/core/_08400_ (net) + 0.05 0.00 20.02 ^ soc/core/_18651_/C1 (sky130_fd_sc_hd__a221o_1) + 0.09 0.11 20.13 ^ soc/core/_18651_/X (sky130_fd_sc_hd__a221o_1) + 1 0.01 soc/core/_08401_ (net) + 0.09 0.00 20.13 ^ soc/core/_18652_/C1 (sky130_fd_sc_hd__a221oi_4) + 0.13 0.03 20.16 v soc/core/_18652_/Y (sky130_fd_sc_hd__a221oi_4) + 1 0.01 soc/core/_08402_ (net) + 0.13 0.00 20.16 v soc/core/_18653_/C1 (sky130_fd_sc_hd__o2111ai_4) + 0.12 0.09 20.25 ^ soc/core/_18653_/Y (sky130_fd_sc_hd__o2111ai_4) + 1 0.00 soc/core/VexRiscv.dBusWishbone_DAT_MISO[6] (net) + 0.12 0.00 20.25 ^ soc/core/hold1016/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.05 0.41 20.67 ^ soc/core/hold1016/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.00 soc/core/net3866 (net) + 0.05 0.00 20.67 ^ soc/core/hold1017/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.05 0.40 21.07 ^ soc/core/hold1017/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.00 soc/core/net3867 (net) + 0.05 0.00 21.07 ^ soc/core/hold1018/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.04 0.40 21.47 ^ soc/core/hold1018/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.00 soc/core/net3868 (net) + 0.04 0.00 21.47 ^ soc/core/hold1019/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.10 0.44 21.91 ^ soc/core/hold1019/X (sky130_fd_sc_hd__dlygate4sd3_1) + 2 0.01 soc/core/net3865 (net) + 0.10 0.00 21.91 ^ soc/core/_18654_/A2_N (sky130_fd_sc_hd__o2bb2ai_1) + 0.09 0.08 21.99 ^ soc/core/_18654_/Y (sky130_fd_sc_hd__o2bb2ai_1) + 1 0.00 soc/core/_08403_ (net) + 0.09 0.00 21.99 ^ soc/core/_18655_/B1 (sky130_fd_sc_hd__a21o_1) + 0.04 0.06 22.06 ^ soc/core/_18655_/X (sky130_fd_sc_hd__a21o_1) + 1 0.00 soc/core/_08404_ (net) + 0.04 0.00 22.06 ^ soc/core/_18656_/C1 (sky130_fd_sc_hd__o211a_1) + 0.03 0.06 22.12 ^ soc/core/_18656_/X (sky130_fd_sc_hd__o211a_1) + 1 0.00 soc/core/_06757_ (net) + 0.03 0.00 22.12 ^ soc/core/_39291_/D (sky130_fd_sc_hd__dfxtp_2) + 22.12 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 28.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 28.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 28.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 28.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 28.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 28.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 28.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 28.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 28.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 28.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 28.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 28.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 28.80 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.91 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.04 0.00 28.91 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.99 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.03 0.00 28.99 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.12 29.11 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.09 0.00 29.12 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 29.25 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.08 0.00 29.25 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 29.34 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.03 0.00 29.34 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.62 0.44 29.78 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.28 soc/core/clknet_5_25_1_core_clk (net) + 0.62 0.04 29.82 ^ soc/core/clkbuf_leaf_246_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.07 0.16 29.97 ^ soc/core/clkbuf_leaf_246_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 22 0.06 soc/core/clknet_leaf_246_core_clk (net) + 0.07 0.00 29.97 ^ soc/core/_39291_/CLK (sky130_fd_sc_hd__dfxtp_2) + -0.25 29.72 clock uncertainty + 0.46 30.19 clock reconvergence pessimism + -0.03 30.16 library setup time + 30.16 data required time +----------------------------------------------------------------------------- + 30.16 data required time + -22.12 data arrival time +----------------------------------------------------------------------------- + 8.03 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_39292_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.00 15.91 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.16 16.08 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.02 caravel_clk (net) + 0.10 0.00 16.08 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 16.21 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.03 0.00 16.21 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 16.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.41 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.03 0.00 16.41 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.51 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 16.51 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 16.60 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 16.60 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 16.75 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.12 0.03 16.78 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 16.90 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.02 0.00 16.90 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 17.04 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.07 0.00 17.04 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 17.16 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.03 0.00 17.17 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 17.26 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 17.26 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.13 17.40 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.07 0.01 17.40 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 17.55 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.05 0.00 17.55 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.10 17.65 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.02 0.00 17.65 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.33 0.32 17.97 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.25 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.06 18.02 v soc/core/clkbuf_leaf_22_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.27 v soc/core/clkbuf_leaf_22_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_22_core_clk (net) + 0.07 0.00 18.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.01 0.52 18.79 ^ soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[7] (sky130_sram_2kbyte_1rw1r_32x512_8) + 2 0.02 soc/core/sram_bus_dat_r[7] (net) + 0.01 0.00 18.80 ^ soc/core/repeater2320/A (sky130_fd_sc_hd__buf_2) + 0.14 0.15 18.94 ^ soc/core/repeater2320/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2320 (net) + 0.14 0.01 18.95 ^ soc/core/repeater2319/A (sky130_fd_sc_hd__buf_2) + 0.14 0.18 19.12 ^ soc/core/repeater2319/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2319 (net) + 0.14 0.01 19.13 ^ soc/core/repeater2318/A (sky130_fd_sc_hd__buf_2) + 0.18 0.21 19.34 ^ soc/core/repeater2318/X (sky130_fd_sc_hd__buf_2) + 2 0.05 soc/core/net2318 (net) + 0.18 0.01 19.35 ^ soc/core/repeater2317/A (sky130_fd_sc_hd__buf_2) + 0.15 0.19 19.53 ^ soc/core/repeater2317/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2317 (net) + 0.15 0.01 19.54 ^ soc/core/repeater2316/A (sky130_fd_sc_hd__buf_2) + 0.12 0.17 19.70 ^ soc/core/repeater2316/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2316 (net) + 0.12 0.00 19.71 ^ soc/core/repeater2315/A (sky130_fd_sc_hd__buf_2) + 0.13 0.17 19.88 ^ soc/core/repeater2315/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2315 (net) + 0.13 0.00 19.88 ^ soc/core/_18638_/B (sky130_fd_sc_hd__and2_1) + 0.05 0.10 19.98 ^ soc/core/_18638_/X (sky130_fd_sc_hd__and2_1) + 1 0.00 soc/core/_08390_ (net) + 0.05 0.00 19.98 ^ soc/core/_18639_/C1 (sky130_fd_sc_hd__a221o_1) + 0.09 0.11 20.08 ^ soc/core/_18639_/X (sky130_fd_sc_hd__a221o_1) + 1 0.01 soc/core/_08391_ (net) + 0.09 0.00 20.08 ^ soc/core/_18640_/C1 (sky130_fd_sc_hd__a221oi_4) + 0.13 0.03 20.11 v soc/core/_18640_/Y (sky130_fd_sc_hd__a221oi_4) + 1 0.01 soc/core/_08392_ (net) + 0.13 0.00 20.11 v soc/core/_18641_/C1 (sky130_fd_sc_hd__o2111ai_4) + 0.12 0.10 20.21 ^ soc/core/_18641_/Y (sky130_fd_sc_hd__o2111ai_4) + 1 0.00 soc/core/VexRiscv.dBusWishbone_DAT_MISO[7] (net) + 0.12 0.00 20.21 ^ soc/core/hold970/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.05 0.42 20.63 ^ soc/core/hold970/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3820 (net) + 0.05 0.00 20.63 ^ soc/core/hold971/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.04 0.40 21.03 ^ soc/core/hold971/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.00 soc/core/net3821 (net) + 0.04 0.00 21.03 ^ soc/core/hold972/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.05 0.41 21.44 ^ soc/core/hold972/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3822 (net) + 0.05 0.00 21.44 ^ soc/core/hold973/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.08 0.43 21.87 ^ soc/core/hold973/X (sky130_fd_sc_hd__dlygate4sd3_1) + 2 0.01 soc/core/net3819 (net) + 0.08 0.00 21.87 ^ soc/core/_18642_/A2_N (sky130_fd_sc_hd__o2bb2ai_1) + 0.08 0.07 21.95 ^ soc/core/_18642_/Y (sky130_fd_sc_hd__o2bb2ai_1) + 1 0.00 soc/core/_08393_ (net) + 0.08 0.00 21.95 ^ soc/core/_18643_/B1 (sky130_fd_sc_hd__a21o_1) + 0.04 0.06 22.01 ^ soc/core/_18643_/X (sky130_fd_sc_hd__a21o_1) + 1 0.00 soc/core/_08394_ (net) + 0.04 0.00 22.01 ^ soc/core/_18644_/C1 (sky130_fd_sc_hd__o211a_1) + 0.03 0.06 22.07 ^ soc/core/_18644_/X (sky130_fd_sc_hd__o211a_1) + 1 0.00 soc/core/_06758_ (net) + 0.03 0.00 22.07 ^ soc/core/_39292_/D (sky130_fd_sc_hd__dfxtp_2) + 22.07 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 28.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 28.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 28.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 28.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 28.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 28.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 28.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 28.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 28.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 28.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 28.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 28.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 28.80 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.91 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.04 0.00 28.91 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.99 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.03 0.00 28.99 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.12 29.11 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.09 0.00 29.12 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 29.25 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.08 0.00 29.25 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 29.34 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.03 0.00 29.34 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.62 0.44 29.78 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.28 soc/core/clknet_5_25_1_core_clk (net) + 0.62 0.03 29.81 ^ soc/core/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.15 29.96 ^ soc/core/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 13 0.05 soc/core/clknet_leaf_243_core_clk (net) + 0.06 0.00 29.96 ^ soc/core/_39292_/CLK (sky130_fd_sc_hd__dfxtp_2) + -0.25 29.71 clock uncertainty + 0.46 30.17 clock reconvergence pessimism + -0.03 30.14 library setup time + 30.14 data required time +----------------------------------------------------------------------------- + 30.14 data required time + -22.07 data arrival time +----------------------------------------------------------------------------- + 8.07 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_39297_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.00 15.91 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.16 16.08 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.02 caravel_clk (net) + 0.10 0.00 16.08 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 16.21 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.03 0.00 16.21 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 16.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.41 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.03 0.00 16.41 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.51 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 16.51 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 16.60 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 16.60 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 16.75 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.12 0.03 16.78 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 16.90 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.02 0.00 16.90 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 17.04 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.07 0.00 17.04 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 17.16 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.03 0.00 17.17 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 17.26 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 17.26 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.13 17.40 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.07 0.01 17.40 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 17.55 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.05 0.00 17.55 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.10 17.65 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.02 0.00 17.65 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.33 0.32 17.97 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.25 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.06 18.02 v soc/core/clkbuf_leaf_22_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.27 v soc/core/clkbuf_leaf_22_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_22_core_clk (net) + 0.07 0.00 18.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.01 0.44 18.71 ^ soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[12] (sky130_sram_2kbyte_1rw1r_32x512_8) + 1 0.01 soc/core/sram_bus_dat_r[12] (net) + 0.00 0.00 18.71 ^ soc/core/repeater2471/A (sky130_fd_sc_hd__buf_2) + 0.16 0.16 18.87 ^ soc/core/repeater2471/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2471 (net) + 0.16 0.01 18.88 ^ soc/core/repeater2470/A (sky130_fd_sc_hd__buf_2) + 0.17 0.20 19.07 ^ soc/core/repeater2470/X (sky130_fd_sc_hd__buf_2) + 2 0.05 soc/core/net2470 (net) + 0.17 0.01 19.08 ^ soc/core/repeater2469/A (sky130_fd_sc_hd__buf_2) + 0.16 0.19 19.27 ^ soc/core/repeater2469/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2469 (net) + 0.16 0.01 19.28 ^ soc/core/repeater2468/A (sky130_fd_sc_hd__buf_2) + 0.14 0.18 19.46 ^ soc/core/repeater2468/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2468 (net) + 0.15 0.01 19.46 ^ soc/core/repeater2467/A (sky130_fd_sc_hd__buf_2) + 0.14 0.18 19.64 ^ soc/core/repeater2467/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2467 (net) + 0.15 0.01 19.65 ^ soc/core/repeater2466/A (sky130_fd_sc_hd__buf_2) + 0.14 0.18 19.83 ^ soc/core/repeater2466/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2466 (net) + 0.14 0.00 19.83 ^ soc/core/_18583_/A2 (sky130_fd_sc_hd__a21o_1) + 0.09 0.13 19.96 ^ soc/core/_18583_/X (sky130_fd_sc_hd__a21o_1) + 1 0.01 soc/core/_08345_ (net) + 0.09 0.00 19.96 ^ soc/core/_18584_/C1 (sky130_fd_sc_hd__a221oi_4) + 0.11 0.02 19.98 v soc/core/_18584_/Y (sky130_fd_sc_hd__a221oi_4) + 1 0.00 soc/core/_08346_ (net) + 0.11 0.00 19.98 v soc/core/hold1095/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.44 20.42 v soc/core/hold1095/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3944 (net) + 0.06 0.00 20.42 v soc/core/_18585_/C1 (sky130_fd_sc_hd__o2111ai_4) + 0.12 0.07 20.49 ^ soc/core/_18585_/Y (sky130_fd_sc_hd__o2111ai_4) + 1 0.00 soc/core/VexRiscv.dBusWishbone_DAT_MISO[12] (net) + 0.12 0.00 20.49 ^ soc/core/hold1091/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.07 0.43 20.92 ^ soc/core/hold1091/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3941 (net) + 0.07 0.00 20.92 ^ soc/core/hold1092/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.42 21.34 ^ soc/core/hold1092/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3942 (net) + 0.06 0.00 21.34 ^ soc/core/hold1093/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.42 21.76 ^ soc/core/hold1093/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3943 (net) + 0.06 0.00 21.76 ^ soc/core/hold1094/A (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.13 0.14 21.90 ^ soc/core/hold1094/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 2 0.01 soc/core/net3940 (net) + 0.13 0.00 21.90 ^ soc/core/_18586_/B2 (sky130_fd_sc_hd__a221o_1) + 0.03 0.10 22.00 ^ soc/core/_18586_/X (sky130_fd_sc_hd__a221o_1) + 1 0.00 soc/core/_08347_ (net) + 0.03 0.00 22.00 ^ soc/core/_18587_/C1 (sky130_fd_sc_hd__o211a_1) + 0.03 0.06 22.06 ^ soc/core/_18587_/X (sky130_fd_sc_hd__o211a_1) + 1 0.00 soc/core/_06763_ (net) + 0.03 0.00 22.06 ^ soc/core/_39297_/D (sky130_fd_sc_hd__dfxtp_2) + 22.06 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 28.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 28.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 28.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 28.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 28.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 28.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 28.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 28.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 28.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 28.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 28.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 28.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 28.80 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.91 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.04 0.00 28.91 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.99 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.03 0.00 28.99 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.12 29.11 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.09 0.00 29.12 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 29.25 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.08 0.00 29.25 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 29.34 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.03 0.00 29.34 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.62 0.44 29.78 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.28 soc/core/clknet_5_25_1_core_clk (net) + 0.62 0.03 29.81 ^ soc/core/clkbuf_leaf_242_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.15 29.95 ^ soc/core/clkbuf_leaf_242_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 10 0.04 soc/core/clknet_leaf_242_core_clk (net) + 0.06 0.00 29.96 ^ soc/core/_39297_/CLK (sky130_fd_sc_hd__dfxtp_2) + -0.25 29.71 clock uncertainty + 0.46 30.17 clock reconvergence pessimism + -0.03 30.14 library setup time + 30.14 data required time +----------------------------------------------------------------------------- + 30.14 data required time + -22.06 data arrival time +----------------------------------------------------------------------------- + 8.08 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_39295_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.00 15.91 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.16 16.08 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.02 caravel_clk (net) + 0.10 0.00 16.08 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 16.21 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.03 0.00 16.21 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 16.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.41 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.03 0.00 16.41 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.51 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 16.51 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 16.60 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 16.60 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 16.75 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.12 0.03 16.78 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 16.90 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.02 0.00 16.90 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 17.04 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.07 0.00 17.04 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 17.16 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.03 0.00 17.17 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 17.26 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 17.26 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.13 17.40 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.07 0.01 17.40 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 17.55 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.05 0.00 17.55 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.10 17.65 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.02 0.00 17.65 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.33 0.32 17.97 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.25 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.06 18.02 v soc/core/clkbuf_leaf_22_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.27 v soc/core/clkbuf_leaf_22_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_22_core_clk (net) + 0.07 0.00 18.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.01 0.46 18.73 ^ soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[10] (sky130_sram_2kbyte_1rw1r_32x512_8) + 1 0.01 soc/core/sram_bus_dat_r[10] (net) + 0.01 0.00 18.73 ^ soc/core/repeater2483/A (sky130_fd_sc_hd__buf_2) + 0.17 0.16 18.89 ^ soc/core/repeater2483/X (sky130_fd_sc_hd__buf_2) + 2 0.05 soc/core/net2483 (net) + 0.17 0.01 18.90 ^ soc/core/repeater2482/A (sky130_fd_sc_hd__buf_2) + 0.16 0.19 19.10 ^ soc/core/repeater2482/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2482 (net) + 0.16 0.01 19.10 ^ soc/core/repeater2481/A (sky130_fd_sc_hd__buf_2) + 0.16 0.19 19.29 ^ soc/core/repeater2481/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2481 (net) + 0.16 0.01 19.30 ^ soc/core/repeater2480/A (sky130_fd_sc_hd__buf_2) + 0.14 0.18 19.48 ^ soc/core/repeater2480/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2480 (net) + 0.14 0.01 19.49 ^ soc/core/repeater2479/A (sky130_fd_sc_hd__buf_2) + 0.14 0.18 19.67 ^ soc/core/repeater2479/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2479 (net) + 0.14 0.01 19.67 ^ soc/core/repeater2478/A (sky130_fd_sc_hd__buf_2) + 0.14 0.18 19.85 ^ soc/core/repeater2478/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2478 (net) + 0.14 0.00 19.85 ^ soc/core/_18604_/A2 (sky130_fd_sc_hd__a21o_1) + 0.08 0.12 19.98 ^ soc/core/_18604_/X (sky130_fd_sc_hd__a21o_1) + 1 0.01 soc/core/_08362_ (net) + 0.08 0.00 19.98 ^ soc/core/_18605_/C1 (sky130_fd_sc_hd__a221oi_4) + 0.11 0.02 20.00 v soc/core/_18605_/Y (sky130_fd_sc_hd__a221oi_4) + 1 0.00 soc/core/_08363_ (net) + 0.11 0.00 20.00 v soc/core/hold1067/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.44 20.44 v soc/core/hold1067/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3916 (net) + 0.06 0.00 20.44 v soc/core/_18606_/C1 (sky130_fd_sc_hd__o2111ai_4) + 0.12 0.07 20.50 ^ soc/core/_18606_/Y (sky130_fd_sc_hd__o2111ai_4) + 1 0.00 soc/core/VexRiscv.dBusWishbone_DAT_MISO[10] (net) + 0.12 0.00 20.50 ^ soc/core/hold1063/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.05 0.42 20.92 ^ soc/core/hold1063/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3913 (net) + 0.05 0.00 20.92 ^ soc/core/hold1064/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.42 21.34 ^ soc/core/hold1064/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3914 (net) + 0.06 0.00 21.34 ^ soc/core/hold1065/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.42 21.76 ^ soc/core/hold1065/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3915 (net) + 0.06 0.00 21.76 ^ soc/core/hold1066/A (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.11 0.12 21.88 ^ soc/core/hold1066/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 2 0.01 soc/core/net3912 (net) + 0.11 0.00 21.88 ^ soc/core/_18607_/B2 (sky130_fd_sc_hd__a221o_1) + 0.04 0.10 21.98 ^ soc/core/_18607_/X (sky130_fd_sc_hd__a221o_1) + 1 0.00 soc/core/_08364_ (net) + 0.04 0.00 21.98 ^ soc/core/_18608_/C1 (sky130_fd_sc_hd__o211a_1) + 0.04 0.08 22.05 ^ soc/core/_18608_/X (sky130_fd_sc_hd__o211a_1) + 1 0.00 soc/core/_06761_ (net) + 0.04 0.00 22.06 ^ soc/core/_39295_/D (sky130_fd_sc_hd__dfxtp_2) + 22.06 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 28.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 28.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 28.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 28.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 28.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 28.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 28.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 28.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 28.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 28.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 28.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 28.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 28.80 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.91 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.04 0.00 28.91 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.99 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.03 0.00 28.99 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.12 29.11 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.09 0.00 29.12 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 29.25 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.08 0.00 29.25 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 29.34 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.03 0.00 29.34 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.62 0.44 29.78 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.28 soc/core/clknet_5_25_1_core_clk (net) + 0.62 0.03 29.81 ^ soc/core/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.15 29.96 ^ soc/core/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 13 0.05 soc/core/clknet_leaf_243_core_clk (net) + 0.06 0.00 29.96 ^ soc/core/_39295_/CLK (sky130_fd_sc_hd__dfxtp_2) + -0.25 29.71 clock uncertainty + 0.46 30.17 clock reconvergence pessimism + -0.03 30.14 library setup time + 30.14 data required time +----------------------------------------------------------------------------- + 30.14 data required time + -22.06 data arrival time +----------------------------------------------------------------------------- + 8.09 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_39293_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.00 15.91 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.16 16.08 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.02 caravel_clk (net) + 0.10 0.00 16.08 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 16.21 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.03 0.00 16.21 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 16.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.41 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.03 0.00 16.41 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.51 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 16.51 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 16.60 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 16.60 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 16.75 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.12 0.03 16.78 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 16.90 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.02 0.00 16.90 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 17.04 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.07 0.00 17.04 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 17.16 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.03 0.00 17.17 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 17.26 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 17.26 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.13 17.40 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.07 0.01 17.40 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 17.55 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.05 0.00 17.55 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.10 17.65 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.02 0.00 17.65 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.33 0.32 17.97 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.25 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.06 18.02 v soc/core/clkbuf_leaf_22_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.27 v soc/core/clkbuf_leaf_22_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_22_core_clk (net) + 0.07 0.00 18.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.01 0.47 18.75 ^ soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[8] (sky130_sram_2kbyte_1rw1r_32x512_8) + 1 0.01 soc/core/sram_bus_dat_r[8] (net) + 0.01 0.00 18.75 ^ soc/core/repeater2314/A (sky130_fd_sc_hd__buf_2) + 0.17 0.16 18.91 ^ soc/core/repeater2314/X (sky130_fd_sc_hd__buf_2) + 2 0.05 soc/core/net2314 (net) + 0.18 0.01 18.92 ^ soc/core/repeater2313/A (sky130_fd_sc_hd__buf_2) + 0.16 0.19 19.11 ^ soc/core/repeater2313/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2313 (net) + 0.16 0.01 19.12 ^ soc/core/repeater2312/A (sky130_fd_sc_hd__buf_2) + 0.15 0.19 19.31 ^ soc/core/repeater2312/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2312 (net) + 0.15 0.01 19.32 ^ soc/core/repeater2311/A (sky130_fd_sc_hd__buf_2) + 0.15 0.19 19.51 ^ soc/core/repeater2311/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2311 (net) + 0.15 0.00 19.51 ^ soc/core/repeater2310/A (sky130_fd_sc_hd__buf_2) + 0.13 0.17 19.68 ^ soc/core/repeater2310/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2310 (net) + 0.13 0.00 19.69 ^ soc/core/repeater2309/A (sky130_fd_sc_hd__buf_2) + 0.13 0.17 19.86 ^ soc/core/repeater2309/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2309 (net) + 0.14 0.01 19.87 ^ soc/core/_18624_/A2 (sky130_fd_sc_hd__a21o_1) + 0.07 0.12 19.99 ^ soc/core/_18624_/X (sky130_fd_sc_hd__a21o_1) + 1 0.01 soc/core/_08378_ (net) + 0.07 0.00 19.99 ^ soc/core/_18625_/C1 (sky130_fd_sc_hd__a221oi_4) + 0.11 0.02 20.00 v soc/core/_18625_/Y (sky130_fd_sc_hd__a221oi_4) + 1 0.00 soc/core/_08379_ (net) + 0.11 0.00 20.00 v soc/core/hold1057/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.44 20.44 v soc/core/hold1057/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3906 (net) + 0.06 0.00 20.44 v soc/core/_18626_/C1 (sky130_fd_sc_hd__o2111ai_4) + 0.12 0.07 20.51 ^ soc/core/_18626_/Y (sky130_fd_sc_hd__o2111ai_4) + 1 0.00 soc/core/VexRiscv.dBusWishbone_DAT_MISO[8] (net) + 0.12 0.00 20.51 ^ soc/core/hold1053/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.43 20.94 ^ soc/core/hold1053/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3903 (net) + 0.06 0.00 20.94 ^ soc/core/hold1054/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.41 21.35 ^ soc/core/hold1054/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3904 (net) + 0.06 0.00 21.35 ^ soc/core/hold1055/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.05 0.41 21.76 ^ soc/core/hold1055/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3905 (net) + 0.05 0.00 21.76 ^ soc/core/hold1056/A (sky130_fd_sc_hd__clkbuf_2) + 0.09 0.12 21.88 ^ soc/core/hold1056/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 soc/core/net3902 (net) + 0.09 0.00 21.88 ^ soc/core/_18627_/B2 (sky130_fd_sc_hd__a221o_1) + 0.03 0.09 21.97 ^ soc/core/_18627_/X (sky130_fd_sc_hd__a221o_1) + 1 0.00 soc/core/_08380_ (net) + 0.03 0.00 21.97 ^ soc/core/_18628_/C1 (sky130_fd_sc_hd__o211a_1) + 0.03 0.06 22.03 ^ soc/core/_18628_/X (sky130_fd_sc_hd__o211a_1) + 1 0.00 soc/core/_06759_ (net) + 0.03 0.00 22.03 ^ soc/core/_39293_/D (sky130_fd_sc_hd__dfxtp_2) + 22.03 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 28.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 28.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 28.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 28.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 28.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 28.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 28.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 28.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 28.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 28.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 28.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 28.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 28.80 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.91 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.04 0.00 28.91 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.99 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.03 0.00 28.99 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.12 29.11 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.09 0.00 29.12 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 29.25 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.08 0.00 29.25 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 29.34 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.03 0.00 29.34 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.62 0.44 29.78 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.28 soc/core/clknet_5_25_1_core_clk (net) + 0.62 0.03 29.81 ^ soc/core/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.15 29.96 ^ soc/core/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 13 0.05 soc/core/clknet_leaf_243_core_clk (net) + 0.06 0.00 29.96 ^ soc/core/_39293_/CLK (sky130_fd_sc_hd__dfxtp_2) + -0.25 29.71 clock uncertainty + 0.46 30.17 clock reconvergence pessimism + -0.03 30.14 library setup time + 30.14 data required time +----------------------------------------------------------------------------- + 30.14 data required time + -22.03 data arrival time +----------------------------------------------------------------------------- + 8.11 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_39288_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.00 15.91 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.16 16.08 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.02 caravel_clk (net) + 0.10 0.00 16.08 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 16.21 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.03 0.00 16.21 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 16.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.41 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.03 0.00 16.41 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.51 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 16.51 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 16.60 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 16.60 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 16.75 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.12 0.03 16.78 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 16.90 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.02 0.00 16.90 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 17.04 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.07 0.00 17.04 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 17.16 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.03 0.00 17.17 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 17.26 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 17.26 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.13 17.40 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.07 0.01 17.40 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 17.55 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.05 0.00 17.55 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.10 17.65 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.02 0.00 17.65 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.33 0.32 17.97 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.25 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.06 18.02 v soc/core/clkbuf_leaf_22_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.27 v soc/core/clkbuf_leaf_22_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_22_core_clk (net) + 0.07 0.00 18.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.01 0.50 18.77 ^ soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[3] (sky130_sram_2kbyte_1rw1r_32x512_8) + 1 0.02 soc/core/sram_bus_dat_r[3] (net) + 0.01 0.00 18.77 ^ soc/core/repeater2346/A (sky130_fd_sc_hd__buf_2) + 0.13 0.14 18.91 ^ soc/core/repeater2346/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2346 (net) + 0.13 0.01 18.91 ^ soc/core/repeater2345/A (sky130_fd_sc_hd__buf_2) + 0.18 0.21 19.12 ^ soc/core/repeater2345/X (sky130_fd_sc_hd__buf_2) + 2 0.05 soc/core/net2345 (net) + 0.18 0.00 19.12 ^ soc/core/repeater2344/A (sky130_fd_sc_hd__buf_2) + 0.16 0.19 19.31 ^ soc/core/repeater2344/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2344 (net) + 0.16 0.01 19.32 ^ soc/core/repeater2343/A (sky130_fd_sc_hd__buf_2) + 0.13 0.17 19.49 ^ soc/core/repeater2343/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2343 (net) + 0.13 0.01 19.50 ^ soc/core/repeater2342/A (sky130_fd_sc_hd__buf_2) + 0.13 0.17 19.67 ^ soc/core/repeater2342/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2342 (net) + 0.13 0.01 19.67 ^ soc/core/repeater2341/A (sky130_fd_sc_hd__buf_2) + 0.12 0.16 19.83 ^ soc/core/repeater2341/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2341 (net) + 0.12 0.00 19.83 ^ soc/core/repeater2340/A (sky130_fd_sc_hd__buf_2) + 0.14 0.17 20.01 ^ soc/core/repeater2340/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2340 (net) + 0.14 0.01 20.01 ^ soc/core/_18686_/B (sky130_fd_sc_hd__and2_1) + 0.05 0.10 20.11 ^ soc/core/_18686_/X (sky130_fd_sc_hd__and2_1) + 1 0.00 soc/core/_08430_ (net) + 0.05 0.00 20.11 ^ soc/core/_18687_/C1 (sky130_fd_sc_hd__a221o_1) + 0.09 0.11 20.22 ^ soc/core/_18687_/X (sky130_fd_sc_hd__a221o_1) + 1 0.01 soc/core/_08431_ (net) + 0.09 0.00 20.22 ^ soc/core/_18688_/C1 (sky130_fd_sc_hd__a221oi_4) + 0.11 0.02 20.24 v soc/core/_18688_/Y (sky130_fd_sc_hd__a221oi_4) + 1 0.00 soc/core/_08432_ (net) + 0.11 0.00 20.24 v soc/core/hold1047/A (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.06 0.16 20.40 v soc/core/hold1047/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 1 0.02 soc/core/net3896 (net) + 0.06 0.00 20.40 v soc/core/_18689_/C1 (sky130_fd_sc_hd__o2111ai_4) + 0.11 0.07 20.46 ^ soc/core/_18689_/Y (sky130_fd_sc_hd__o2111ai_4) + 1 0.00 soc/core/VexRiscv.dBusWishbone_DAT_MISO[3] (net) + 0.11 0.00 20.46 ^ soc/core/hold1043/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.42 20.88 ^ soc/core/hold1043/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3893 (net) + 0.06 0.00 20.88 ^ soc/core/hold1044/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.05 0.41 21.29 ^ soc/core/hold1044/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.00 soc/core/net3894 (net) + 0.05 0.00 21.29 ^ soc/core/hold1045/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.05 0.41 21.70 ^ soc/core/hold1045/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.00 soc/core/net3895 (net) + 0.05 0.00 21.70 ^ soc/core/hold1046/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.12 21.82 ^ soc/core/hold1046/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.02 soc/core/net3892 (net) + 0.06 0.00 21.82 ^ soc/core/_18690_/B2 (sky130_fd_sc_hd__a221oi_1) + 0.07 0.06 21.88 v soc/core/_18690_/Y (sky130_fd_sc_hd__a221oi_1) + 1 0.01 soc/core/_08433_ (net) + 0.07 0.00 21.88 v soc/core/_18691_/C1 (sky130_fd_sc_hd__a211oi_1) + 0.16 0.12 21.99 ^ soc/core/_18691_/Y (sky130_fd_sc_hd__a211oi_1) + 1 0.00 soc/core/_06754_ (net) + 0.16 0.00 21.99 ^ soc/core/_39288_/D (sky130_fd_sc_hd__dfxtp_1) + 21.99 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 28.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 28.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 28.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 28.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 28.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 28.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 28.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 28.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 28.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 28.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 28.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 28.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 28.80 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.91 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.04 0.00 28.91 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.99 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.03 0.00 28.99 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.12 29.11 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.09 0.00 29.12 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 29.25 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.08 0.00 29.25 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 29.34 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.03 0.00 29.34 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.62 0.44 29.78 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.28 soc/core/clknet_5_25_1_core_clk (net) + 0.62 0.03 29.81 ^ soc/core/clkbuf_leaf_241_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.07 0.16 29.97 ^ soc/core/clkbuf_leaf_241_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.06 soc/core/clknet_leaf_241_core_clk (net) + 0.07 0.00 29.97 ^ soc/core/_39288_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 29.72 clock uncertainty + 0.46 30.18 clock reconvergence pessimism + -0.04 30.14 library setup time + 30.14 data required time +----------------------------------------------------------------------------- + 30.14 data required time + -21.99 data arrival time +----------------------------------------------------------------------------- + 8.15 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_35306_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.00 15.91 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.16 16.08 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.02 caravel_clk (net) + 0.10 0.00 16.08 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 16.21 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.03 0.00 16.21 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 16.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.41 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.03 0.00 16.41 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.51 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 16.51 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 16.60 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 16.60 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 16.75 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.12 0.03 16.78 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 16.90 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.02 0.00 16.90 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 17.04 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.07 0.00 17.04 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 17.16 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.03 0.00 17.17 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 17.26 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 17.26 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.13 17.40 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.07 0.01 17.40 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 17.55 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.05 0.00 17.55 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.10 17.65 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.02 0.00 17.65 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.33 0.32 17.97 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.25 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.06 18.02 v soc/core/clkbuf_leaf_22_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.27 v soc/core/clkbuf_leaf_22_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_22_core_clk (net) + 0.07 0.00 18.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.01 0.47 18.74 ^ soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[4] (sky130_sram_2kbyte_1rw1r_32x512_8) + 1 0.01 soc/core/sram_bus_dat_r[4] (net) + 0.01 0.00 18.74 ^ soc/core/repeater2339/A (sky130_fd_sc_hd__buf_2) + 0.12 0.13 18.87 ^ soc/core/repeater2339/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2339 (net) + 0.12 0.00 18.88 ^ soc/core/repeater2338/A (sky130_fd_sc_hd__buf_2) + 0.17 0.20 19.07 ^ soc/core/repeater2338/X (sky130_fd_sc_hd__buf_2) + 2 0.05 soc/core/net2338 (net) + 0.17 0.00 19.07 ^ soc/core/repeater2337/A (sky130_fd_sc_hd__buf_2) + 0.17 0.20 19.27 ^ soc/core/repeater2337/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2337 (net) + 0.17 0.01 19.28 ^ soc/core/repeater2336/A (sky130_fd_sc_hd__buf_2) + 0.13 0.17 19.45 ^ soc/core/repeater2336/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2336 (net) + 0.13 0.01 19.46 ^ soc/core/repeater2335/A (sky130_fd_sc_hd__buf_2) + 0.11 0.15 19.61 ^ soc/core/repeater2335/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2335 (net) + 0.11 0.00 19.62 ^ soc/core/repeater2334/A (sky130_fd_sc_hd__buf_2) + 0.13 0.16 19.78 ^ soc/core/repeater2334/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2334 (net) + 0.13 0.01 19.78 ^ soc/core/repeater2333/A (sky130_fd_sc_hd__buf_2) + 0.13 0.17 19.96 ^ soc/core/repeater2333/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2333 (net) + 0.13 0.01 19.96 ^ soc/core/_18674_/B (sky130_fd_sc_hd__and2_1) + 0.06 0.11 20.07 ^ soc/core/_18674_/X (sky130_fd_sc_hd__and2_1) + 1 0.01 soc/core/_08420_ (net) + 0.06 0.00 20.07 ^ soc/core/_18675_/C1 (sky130_fd_sc_hd__a221o_1) + 0.09 0.11 20.18 ^ soc/core/_18675_/X (sky130_fd_sc_hd__a221o_1) + 1 0.01 soc/core/_08421_ (net) + 0.09 0.00 20.18 ^ soc/core/_18676_/C1 (sky130_fd_sc_hd__a221oi_4) + 0.14 0.03 20.22 v soc/core/_18676_/Y (sky130_fd_sc_hd__a221oi_4) + 1 0.02 soc/core/_08422_ (net) + 0.14 0.00 20.22 v soc/core/_18677_/C1 (sky130_fd_sc_hd__o2111ai_4) + 0.11 0.10 20.31 ^ soc/core/_18677_/Y (sky130_fd_sc_hd__o2111ai_4) + 1 0.00 soc/core/VexRiscv.dBusWishbone_DAT_MISO[4] (net) + 0.11 0.00 20.31 ^ soc/core/hold979/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.05 0.41 20.73 ^ soc/core/hold979/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.00 soc/core/net3829 (net) + 0.05 0.00 20.73 ^ soc/core/hold980/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.07 0.42 21.15 ^ soc/core/hold980/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3830 (net) + 0.07 0.00 21.15 ^ soc/core/hold981/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.04 0.40 21.55 ^ soc/core/hold981/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.00 soc/core/net3831 (net) + 0.04 0.00 21.55 ^ soc/core/hold982/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.08 0.43 21.98 ^ soc/core/hold982/X (sky130_fd_sc_hd__dlygate4sd3_1) + 2 0.01 soc/core/net3828 (net) + 0.08 0.00 21.98 ^ soc/core/_35306_/D (sky130_fd_sc_hd__dfxtp_4) + 21.98 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 28.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 28.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 28.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 28.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 28.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 28.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 28.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 28.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 28.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 28.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 28.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 28.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 28.80 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.91 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.04 0.00 28.91 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.99 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.03 0.00 28.99 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.12 29.11 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.09 0.00 29.12 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 29.25 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.08 0.00 29.25 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 29.34 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.03 0.00 29.34 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.62 0.44 29.78 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.28 soc/core/clknet_5_25_1_core_clk (net) + 0.62 0.02 29.80 ^ soc/core/clkbuf_leaf_238_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.16 29.96 ^ soc/core/clkbuf_leaf_238_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 28 0.07 soc/core/clknet_leaf_238_core_clk (net) + 0.08 0.00 29.96 ^ soc/core/_35306_/CLK (sky130_fd_sc_hd__dfxtp_4) + -0.25 29.71 clock uncertainty + 0.46 30.17 clock reconvergence pessimism + -0.03 30.14 library setup time + 30.14 data required time +----------------------------------------------------------------------------- + 30.14 data required time + -21.98 data arrival time +----------------------------------------------------------------------------- + 8.16 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_35317_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.00 15.91 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.16 16.08 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.02 caravel_clk (net) + 0.10 0.00 16.08 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 16.21 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.03 0.00 16.21 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 16.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.41 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.03 0.00 16.41 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.51 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 16.51 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 16.60 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 16.60 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 16.75 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.12 0.03 16.78 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 16.90 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.02 0.00 16.90 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 17.04 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.07 0.00 17.04 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 17.16 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.03 0.00 17.17 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 17.26 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 17.26 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.13 17.40 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.07 0.01 17.40 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 17.55 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.05 0.00 17.55 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.10 17.65 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.02 0.00 17.65 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.33 0.32 17.97 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.25 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.06 18.02 v soc/core/clkbuf_leaf_22_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.27 v soc/core/clkbuf_leaf_22_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_22_core_clk (net) + 0.07 0.00 18.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.02 0.65 18.93 ^ soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[15] (sky130_sram_2kbyte_1rw1r_32x512_8) + 2 0.04 soc/core/sram_bus_dat_r[15] (net) + 0.02 0.01 18.93 ^ soc/core/repeater2455/A (sky130_fd_sc_hd__buf_2) + 0.18 0.18 19.11 ^ soc/core/repeater2455/X (sky130_fd_sc_hd__buf_2) + 2 0.05 soc/core/net2455 (net) + 0.18 0.00 19.11 ^ soc/core/repeater2454/A (sky130_fd_sc_hd__buf_2) + 0.17 0.20 19.32 ^ soc/core/repeater2454/X (sky130_fd_sc_hd__buf_2) + 2 0.05 soc/core/net2454 (net) + 0.17 0.00 19.32 ^ soc/core/repeater2453/A (sky130_fd_sc_hd__buf_2) + 0.14 0.18 19.50 ^ soc/core/repeater2453/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2453 (net) + 0.14 0.01 19.51 ^ soc/core/repeater2452/A (sky130_fd_sc_hd__buf_2) + 0.16 0.19 19.70 ^ soc/core/repeater2452/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2452 (net) + 0.16 0.01 19.71 ^ soc/core/repeater2451/A (sky130_fd_sc_hd__buf_2) + 0.14 0.18 19.88 ^ soc/core/repeater2451/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2451 (net) + 0.14 0.00 19.88 ^ soc/core/_18555_/A2 (sky130_fd_sc_hd__a21o_1) + 0.11 0.15 20.03 ^ soc/core/_18555_/X (sky130_fd_sc_hd__a21o_1) + 1 0.02 soc/core/_08323_ (net) + 0.11 0.00 20.03 ^ soc/core/_18556_/C1 (sky130_fd_sc_hd__a221oi_4) + 0.11 0.01 20.05 v soc/core/_18556_/Y (sky130_fd_sc_hd__a221oi_4) + 1 0.00 soc/core/_08324_ (net) + 0.11 0.00 20.05 v soc/core/hold1119/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.44 20.49 v soc/core/hold1119/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3968 (net) + 0.06 0.00 20.49 v soc/core/_18557_/C1 (sky130_fd_sc_hd__o2111ai_4) + 0.12 0.07 20.55 ^ soc/core/_18557_/Y (sky130_fd_sc_hd__o2111ai_4) + 1 0.00 soc/core/VexRiscv.dBusWishbone_DAT_MISO[15] (net) + 0.12 0.00 20.55 ^ soc/core/hold1115/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.07 0.43 20.99 ^ soc/core/hold1115/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3965 (net) + 0.07 0.00 20.99 ^ soc/core/hold1116/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.42 21.40 ^ soc/core/hold1116/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3966 (net) + 0.06 0.00 21.40 ^ soc/core/hold1117/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.05 0.41 21.81 ^ soc/core/hold1117/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3967 (net) + 0.05 0.00 21.81 ^ soc/core/hold1118/A (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.14 0.15 21.96 ^ soc/core/hold1118/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 2 0.02 soc/core/net3964 (net) + 0.14 0.00 21.96 ^ soc/core/_35317_/D (sky130_fd_sc_hd__dfxtp_4) + 21.96 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 28.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 28.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 28.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 28.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 28.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 28.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 28.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 28.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 28.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 28.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 28.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 28.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 28.80 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.91 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.04 0.00 28.91 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.99 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.03 0.00 28.99 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.12 29.11 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.09 0.00 29.12 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 29.25 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.08 0.00 29.25 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 29.34 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.03 0.00 29.34 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.62 0.44 29.78 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.28 soc/core/clknet_5_25_1_core_clk (net) + 0.62 0.03 29.81 ^ soc/core/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.15 29.96 ^ soc/core/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 13 0.05 soc/core/clknet_leaf_243_core_clk (net) + 0.06 0.00 29.96 ^ soc/core/_35317_/CLK (sky130_fd_sc_hd__dfxtp_4) + -0.25 29.71 clock uncertainty + 0.46 30.17 clock reconvergence pessimism + -0.04 30.13 library setup time + 30.13 data required time +----------------------------------------------------------------------------- + 30.13 data required time + -21.96 data arrival time +----------------------------------------------------------------------------- + 8.17 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_39290_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.00 15.91 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.16 16.08 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.02 caravel_clk (net) + 0.10 0.00 16.08 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 16.21 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.03 0.00 16.21 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 16.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.41 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.03 0.00 16.41 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.51 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 16.51 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 16.60 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 16.60 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 16.75 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.12 0.03 16.78 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 16.90 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.02 0.00 16.90 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 17.04 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.07 0.00 17.04 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 17.16 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.03 0.00 17.17 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 17.26 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 17.26 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.13 17.40 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.07 0.01 17.40 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 17.55 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.05 0.00 17.55 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.10 17.65 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.02 0.00 17.65 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.33 0.32 17.97 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.25 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.06 18.02 v soc/core/clkbuf_leaf_22_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.27 v soc/core/clkbuf_leaf_22_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_22_core_clk (net) + 0.07 0.00 18.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.02 0.64 18.92 ^ soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[5] (sky130_sram_2kbyte_1rw1r_32x512_8) + 2 0.04 soc/core/sram_bus_dat_r[5] (net) + 0.02 0.00 18.92 ^ soc/core/repeater2332/A (sky130_fd_sc_hd__buf_2) + 0.13 0.14 19.05 ^ soc/core/repeater2332/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2332 (net) + 0.13 0.01 19.06 ^ soc/core/repeater2331/A (sky130_fd_sc_hd__buf_2) + 0.18 0.20 19.26 ^ soc/core/repeater2331/X (sky130_fd_sc_hd__buf_2) + 2 0.05 soc/core/net2331 (net) + 0.18 0.00 19.27 ^ soc/core/repeater2330/A (sky130_fd_sc_hd__buf_2) + 0.16 0.19 19.46 ^ soc/core/repeater2330/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2330 (net) + 0.17 0.01 19.47 ^ soc/core/repeater2329/A (sky130_fd_sc_hd__buf_2) + 0.14 0.18 19.65 ^ soc/core/repeater2329/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2329 (net) + 0.14 0.01 19.66 ^ soc/core/repeater2328/A (sky130_fd_sc_hd__buf_2) + 0.12 0.16 19.82 ^ soc/core/repeater2328/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2328 (net) + 0.12 0.00 19.82 ^ soc/core/repeater2327/A (sky130_fd_sc_hd__buf_2) + 0.14 0.17 19.99 ^ soc/core/repeater2327/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2327 (net) + 0.14 0.01 20.00 ^ soc/core/_18662_/B (sky130_fd_sc_hd__and2_1) + 0.04 0.10 20.10 ^ soc/core/_18662_/X (sky130_fd_sc_hd__and2_1) + 1 0.00 soc/core/_08410_ (net) + 0.04 0.00 20.10 ^ soc/core/_18663_/C1 (sky130_fd_sc_hd__a221o_1) + 0.09 0.11 20.20 ^ soc/core/_18663_/X (sky130_fd_sc_hd__a221o_1) + 1 0.01 soc/core/_08411_ (net) + 0.09 0.00 20.20 ^ soc/core/_18664_/C1 (sky130_fd_sc_hd__a221oi_4) + 0.11 0.02 20.22 v soc/core/_18664_/Y (sky130_fd_sc_hd__a221oi_4) + 1 0.00 soc/core/_08412_ (net) + 0.11 0.00 20.22 v soc/core/hold862/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.12 20.34 v soc/core/hold862/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net3711 (net) + 0.05 0.00 20.34 v soc/core/_18665_/C1 (sky130_fd_sc_hd__o2111ai_4) + 0.11 0.06 20.40 ^ soc/core/_18665_/Y (sky130_fd_sc_hd__o2111ai_4) + 1 0.00 soc/core/VexRiscv.dBusWishbone_DAT_MISO[5] (net) + 0.11 0.00 20.40 ^ soc/core/hold858/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.05 0.41 20.82 ^ soc/core/hold858/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.00 soc/core/net3708 (net) + 0.05 0.00 20.82 ^ soc/core/hold859/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.04 0.40 21.22 ^ soc/core/hold859/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.00 soc/core/net3709 (net) + 0.04 0.00 21.22 ^ soc/core/hold860/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.04 0.39 21.61 ^ soc/core/hold860/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.00 soc/core/net3710 (net) + 0.04 0.00 21.61 ^ soc/core/hold861/A (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.16 0.16 21.77 ^ soc/core/hold861/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 2 0.02 soc/core/net3707 (net) + 0.16 0.00 21.77 ^ soc/core/_18666_/A2_N (sky130_fd_sc_hd__o2bb2ai_1) + 0.08 0.08 21.85 ^ soc/core/_18666_/Y (sky130_fd_sc_hd__o2bb2ai_1) + 1 0.00 soc/core/_08413_ (net) + 0.08 0.00 21.85 ^ soc/core/_18667_/B1 (sky130_fd_sc_hd__a21o_1) + 0.03 0.06 21.91 ^ soc/core/_18667_/X (sky130_fd_sc_hd__a21o_1) + 1 0.00 soc/core/_08414_ (net) + 0.03 0.00 21.91 ^ soc/core/_18668_/C1 (sky130_fd_sc_hd__o211a_1) + 0.03 0.06 21.97 ^ soc/core/_18668_/X (sky130_fd_sc_hd__o211a_1) + 1 0.00 soc/core/_06756_ (net) + 0.03 0.00 21.97 ^ soc/core/_39290_/D (sky130_fd_sc_hd__dfxtp_2) + 21.97 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 28.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 28.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 28.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 28.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 28.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 28.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 28.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 28.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 28.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 28.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 28.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 28.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 28.80 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.91 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.04 0.00 28.91 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.99 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.03 0.00 28.99 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.12 29.11 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.09 0.00 29.12 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 29.25 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.08 0.00 29.25 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 29.34 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.03 0.00 29.34 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.62 0.44 29.78 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.28 soc/core/clknet_5_25_1_core_clk (net) + 0.62 0.03 29.81 ^ soc/core/clkbuf_leaf_240_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.07 0.15 29.96 ^ soc/core/clkbuf_leaf_240_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 18 0.05 soc/core/clknet_leaf_240_core_clk (net) + 0.07 0.00 29.97 ^ soc/core/_39290_/CLK (sky130_fd_sc_hd__dfxtp_2) + -0.25 29.72 clock uncertainty + 0.46 30.18 clock reconvergence pessimism + -0.03 30.15 library setup time + 30.15 data required time +----------------------------------------------------------------------------- + 30.15 data required time + -21.97 data arrival time +----------------------------------------------------------------------------- + 8.18 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_35303_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.00 15.91 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.16 16.08 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.02 caravel_clk (net) + 0.10 0.00 16.08 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 16.21 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.03 0.00 16.21 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 16.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.41 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.03 0.00 16.41 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.51 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 16.51 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 16.60 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 16.60 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 16.75 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.12 0.03 16.78 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 16.90 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.02 0.00 16.90 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 17.04 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.07 0.00 17.04 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 17.16 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.03 0.00 17.17 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 17.26 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 17.26 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.13 17.40 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.07 0.01 17.40 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 17.55 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.05 0.00 17.55 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.10 17.65 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.02 0.00 17.65 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.33 0.32 17.97 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.25 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.06 18.02 v soc/core/clkbuf_leaf_22_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.27 v soc/core/clkbuf_leaf_22_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_22_core_clk (net) + 0.07 0.00 18.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.01 0.46 18.73 ^ soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[1] (sky130_sram_2kbyte_1rw1r_32x512_8) + 1 0.01 soc/core/sram_bus_dat_r[1] (net) + 0.01 0.00 18.73 ^ soc/core/repeater2427/A (sky130_fd_sc_hd__buf_2) + 0.19 0.18 18.92 ^ soc/core/repeater2427/X (sky130_fd_sc_hd__buf_2) + 2 0.05 soc/core/net2427 (net) + 0.19 0.00 18.92 ^ soc/core/repeater2426/A (sky130_fd_sc_hd__buf_2) + 0.12 0.17 19.09 ^ soc/core/repeater2426/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2426 (net) + 0.12 0.01 19.09 ^ soc/core/repeater2425/A (sky130_fd_sc_hd__buf_2) + 0.25 0.26 19.35 ^ soc/core/repeater2425/X (sky130_fd_sc_hd__buf_2) + 2 0.07 soc/core/net2425 (net) + 0.25 0.00 19.35 ^ soc/core/repeater2424/A (sky130_fd_sc_hd__buf_2) + 0.11 0.17 19.52 ^ soc/core/repeater2424/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2424 (net) + 0.11 0.00 19.52 ^ soc/core/repeater2423/A (sky130_fd_sc_hd__buf_2) + 0.13 0.16 19.69 ^ soc/core/repeater2423/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2423 (net) + 0.13 0.01 19.69 ^ soc/core/repeater2422/A (sky130_fd_sc_hd__buf_2) + 0.13 0.17 19.86 ^ soc/core/repeater2422/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2422 (net) + 0.13 0.01 19.87 ^ soc/core/repeater2421/A (sky130_fd_sc_hd__buf_2) + 0.13 0.17 20.04 ^ soc/core/repeater2421/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2421 (net) + 0.13 0.01 20.04 ^ soc/core/_18708_/B2 (sky130_fd_sc_hd__a221o_1) + 0.08 0.14 20.18 ^ soc/core/_18708_/X (sky130_fd_sc_hd__a221o_1) + 1 0.01 soc/core/_08448_ (net) + 0.08 0.00 20.18 ^ soc/core/_18709_/C1 (sky130_fd_sc_hd__a221oi_4) + 0.14 0.03 20.21 v soc/core/_18709_/Y (sky130_fd_sc_hd__a221oi_4) + 1 0.02 soc/core/_08449_ (net) + 0.14 0.00 20.21 v soc/core/_18710_/C1 (sky130_fd_sc_hd__o2111ai_4) + 0.11 0.10 20.31 ^ soc/core/_18710_/Y (sky130_fd_sc_hd__o2111ai_4) + 1 0.00 soc/core/VexRiscv.dBusWishbone_DAT_MISO[1] (net) + 0.11 0.00 20.31 ^ soc/core/hold1101/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.05 0.41 20.72 ^ soc/core/hold1101/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.00 soc/core/net3951 (net) + 0.05 0.00 20.72 ^ soc/core/hold1102/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.04 0.40 21.12 ^ soc/core/hold1102/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.00 soc/core/net3952 (net) + 0.04 0.00 21.12 ^ soc/core/hold1103/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.41 21.52 ^ soc/core/hold1103/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3953 (net) + 0.06 0.00 21.52 ^ soc/core/hold1104/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.08 0.43 21.96 ^ soc/core/hold1104/X (sky130_fd_sc_hd__dlygate4sd3_1) + 2 0.01 soc/core/net3950 (net) + 0.08 0.00 21.96 ^ soc/core/_35303_/D (sky130_fd_sc_hd__dfxtp_4) + 21.96 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 28.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 28.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 28.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 28.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 28.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 28.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 28.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 28.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 28.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 28.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 28.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 28.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 28.80 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.91 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.04 0.00 28.91 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.99 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.03 0.00 28.99 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.12 29.11 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.09 0.00 29.12 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 29.25 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.08 0.00 29.25 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 29.34 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.03 0.00 29.34 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.62 0.44 29.78 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.28 soc/core/clknet_5_25_1_core_clk (net) + 0.62 0.02 29.80 ^ soc/core/clkbuf_leaf_238_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.16 29.96 ^ soc/core/clkbuf_leaf_238_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 28 0.07 soc/core/clknet_leaf_238_core_clk (net) + 0.08 0.00 29.96 ^ soc/core/_35303_/CLK (sky130_fd_sc_hd__dfxtp_4) + -0.25 29.71 clock uncertainty + 0.46 30.17 clock reconvergence pessimism + -0.04 30.14 library setup time + 30.14 data required time +----------------------------------------------------------------------------- + 30.14 data required time + -21.96 data arrival time +----------------------------------------------------------------------------- + 8.18 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_35318_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.00 15.91 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.16 16.08 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.02 caravel_clk (net) + 0.10 0.00 16.08 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 16.21 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.03 0.00 16.21 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 16.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.41 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.03 0.00 16.41 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.51 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 16.51 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 16.60 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 16.60 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 16.75 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.12 0.03 16.78 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 16.90 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.02 0.00 16.90 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 17.04 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.07 0.00 17.04 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 17.16 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.03 0.00 17.17 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 17.26 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 17.26 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.13 17.40 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.07 0.01 17.40 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 17.55 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.05 0.00 17.55 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.10 17.65 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.02 0.00 17.65 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.33 0.32 17.97 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.25 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.06 18.02 v soc/core/clkbuf_leaf_22_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.27 v soc/core/clkbuf_leaf_22_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_22_core_clk (net) + 0.07 0.00 18.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.03 0.70 18.97 ^ soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[16] (sky130_sram_2kbyte_1rw1r_32x512_8) + 2 0.05 soc/core/sram_bus_dat_r[16] (net) + 0.02 0.00 18.97 ^ soc/core/repeater2450/A (sky130_fd_sc_hd__buf_2) + 0.18 0.18 19.15 ^ soc/core/repeater2450/X (sky130_fd_sc_hd__buf_2) + 2 0.05 soc/core/net2450 (net) + 0.18 0.00 19.15 ^ soc/core/repeater2449/A (sky130_fd_sc_hd__buf_2) + 0.15 0.19 19.34 ^ soc/core/repeater2449/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2449 (net) + 0.15 0.01 19.35 ^ soc/core/repeater2448/A (sky130_fd_sc_hd__buf_2) + 0.13 0.17 19.52 ^ soc/core/repeater2448/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2448 (net) + 0.13 0.01 19.53 ^ soc/core/repeater2447/A (sky130_fd_sc_hd__buf_2) + 0.14 0.17 19.70 ^ soc/core/repeater2447/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2447 (net) + 0.14 0.01 19.71 ^ soc/core/repeater2446/A (sky130_fd_sc_hd__buf_2) + 0.13 0.17 19.88 ^ soc/core/repeater2446/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2446 (net) + 0.13 0.01 19.88 ^ soc/core/_18541_/A2 (sky130_fd_sc_hd__a21o_1) + 0.14 0.17 20.05 ^ soc/core/_18541_/X (sky130_fd_sc_hd__a21o_1) + 1 0.02 soc/core/_08311_ (net) + 0.14 0.00 20.05 ^ soc/core/_18542_/C1 (sky130_fd_sc_hd__a221oi_4) + 0.11 0.01 20.07 v soc/core/_18542_/Y (sky130_fd_sc_hd__a221oi_4) + 1 0.00 soc/core/_08312_ (net) + 0.11 0.00 20.07 v soc/core/hold1139/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.44 20.51 v soc/core/hold1139/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3988 (net) + 0.06 0.00 20.51 v soc/core/_18545_/C1 (sky130_fd_sc_hd__o2111ai_4) + 0.12 0.07 20.57 ^ soc/core/_18545_/Y (sky130_fd_sc_hd__o2111ai_4) + 1 0.00 soc/core/VexRiscv.dBusWishbone_DAT_MISO[16] (net) + 0.12 0.00 20.57 ^ soc/core/hold1135/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.05 0.41 20.99 ^ soc/core/hold1135/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.00 soc/core/net3985 (net) + 0.05 0.00 20.99 ^ soc/core/hold1136/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.41 21.39 ^ soc/core/hold1136/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3986 (net) + 0.06 0.00 21.39 ^ soc/core/hold1137/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.05 0.41 21.81 ^ soc/core/hold1137/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3987 (net) + 0.05 0.00 21.81 ^ soc/core/hold1138/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.16 21.96 ^ soc/core/hold1138/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/net3984 (net) + 0.11 0.00 21.97 ^ soc/core/_35318_/D (sky130_fd_sc_hd__dfxtp_4) + 21.97 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 28.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 28.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 28.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 28.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 28.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 28.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 28.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 28.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 28.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 28.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 28.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 28.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 28.80 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.91 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.04 0.00 28.91 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.99 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.03 0.00 28.99 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.12 29.11 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.09 0.00 29.12 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 29.25 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.08 0.00 29.25 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 29.34 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.03 0.00 29.34 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.64 0.47 29.80 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.64 0.02 29.83 ^ soc/core/clkbuf_leaf_245_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.15 29.98 ^ soc/core/clkbuf_leaf_245_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 18 0.05 soc/core/clknet_leaf_245_core_clk (net) + 0.06 0.00 29.98 ^ soc/core/_35318_/CLK (sky130_fd_sc_hd__dfxtp_4) + -0.25 29.73 clock uncertainty + 0.46 30.19 clock reconvergence pessimism + -0.04 30.15 library setup time + 30.15 data required time +----------------------------------------------------------------------------- + 30.15 data required time + -21.97 data arrival time +----------------------------------------------------------------------------- + 8.18 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_35316_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.00 15.91 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.16 16.08 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.02 caravel_clk (net) + 0.10 0.00 16.08 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 16.21 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.03 0.00 16.21 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 16.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.41 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.03 0.00 16.41 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.51 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 16.51 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 16.60 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 16.60 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 16.75 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.12 0.03 16.78 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 16.90 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.02 0.00 16.90 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 17.04 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.07 0.00 17.04 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 17.16 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.03 0.00 17.17 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 17.26 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 17.26 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.13 17.40 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.07 0.01 17.40 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 17.55 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.05 0.00 17.55 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.10 17.65 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.02 0.00 17.65 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.33 0.32 17.97 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.25 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.06 18.02 v soc/core/clkbuf_leaf_22_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.27 v soc/core/clkbuf_leaf_22_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_22_core_clk (net) + 0.07 0.00 18.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.03 0.67 18.94 ^ soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[14] (sky130_sram_2kbyte_1rw1r_32x512_8) + 2 0.05 soc/core/sram_bus_dat_r[14] (net) + 0.02 0.01 18.95 ^ soc/core/repeater2460/A (sky130_fd_sc_hd__buf_2) + 0.17 0.17 19.12 ^ soc/core/repeater2460/X (sky130_fd_sc_hd__buf_2) + 2 0.05 soc/core/net2460 (net) + 0.17 0.01 19.12 ^ soc/core/repeater2459/A (sky130_fd_sc_hd__buf_2) + 0.16 0.19 19.32 ^ soc/core/repeater2459/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2459 (net) + 0.16 0.00 19.32 ^ soc/core/repeater2458/A (sky130_fd_sc_hd__buf_2) + 0.14 0.18 19.50 ^ soc/core/repeater2458/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2458 (net) + 0.14 0.01 19.50 ^ soc/core/repeater2457/A (sky130_fd_sc_hd__buf_2) + 0.13 0.17 19.67 ^ soc/core/repeater2457/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2457 (net) + 0.13 0.01 19.68 ^ soc/core/repeater2456/A (sky130_fd_sc_hd__buf_2) + 0.14 0.18 19.85 ^ soc/core/repeater2456/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2456 (net) + 0.14 0.00 19.86 ^ soc/core/_18564_/A2 (sky130_fd_sc_hd__a21o_1) + 0.14 0.17 20.03 ^ soc/core/_18564_/X (sky130_fd_sc_hd__a21o_1) + 1 0.02 soc/core/_08330_ (net) + 0.14 0.00 20.03 ^ soc/core/_18565_/C1 (sky130_fd_sc_hd__a221oi_4) + 0.11 0.01 20.04 v soc/core/_18565_/Y (sky130_fd_sc_hd__a221oi_4) + 1 0.00 soc/core/_08331_ (net) + 0.11 0.00 20.04 v soc/core/hold1129/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.44 20.48 v soc/core/hold1129/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3978 (net) + 0.06 0.00 20.48 v soc/core/_18567_/C1 (sky130_fd_sc_hd__o2111ai_4) + 0.11 0.07 20.55 ^ soc/core/_18567_/Y (sky130_fd_sc_hd__o2111ai_4) + 1 0.00 soc/core/VexRiscv.dBusWishbone_DAT_MISO[14] (net) + 0.11 0.00 20.55 ^ soc/core/hold1125/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.43 20.98 ^ soc/core/hold1125/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3975 (net) + 0.06 0.00 20.98 ^ soc/core/hold1126/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.07 0.42 21.40 ^ soc/core/hold1126/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3976 (net) + 0.07 0.00 21.40 ^ soc/core/hold1127/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.41 21.81 ^ soc/core/hold1127/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3977 (net) + 0.06 0.00 21.81 ^ soc/core/hold1128/A (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.12 0.13 21.95 ^ soc/core/hold1128/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 2 0.01 soc/core/net3974 (net) + 0.12 0.00 21.95 ^ soc/core/_35316_/D (sky130_fd_sc_hd__dfxtp_4) + 21.95 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 28.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 28.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 28.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 28.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 28.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 28.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 28.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 28.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 28.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 28.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 28.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 28.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 28.80 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.91 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.04 0.00 28.91 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.99 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.03 0.00 28.99 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.12 29.11 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.09 0.00 29.12 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 29.25 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.08 0.00 29.25 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 29.34 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.03 0.00 29.34 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.62 0.44 29.78 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.28 soc/core/clknet_5_25_1_core_clk (net) + 0.62 0.03 29.81 ^ soc/core/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.15 29.96 ^ soc/core/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 13 0.05 soc/core/clknet_leaf_243_core_clk (net) + 0.06 0.00 29.96 ^ soc/core/_35316_/CLK (sky130_fd_sc_hd__dfxtp_4) + -0.25 29.71 clock uncertainty + 0.46 30.17 clock reconvergence pessimism + -0.04 30.13 library setup time + 30.13 data required time +----------------------------------------------------------------------------- + 30.13 data required time + -21.95 data arrival time +----------------------------------------------------------------------------- + 8.19 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_35305_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.00 15.91 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.16 16.08 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.02 caravel_clk (net) + 0.10 0.00 16.08 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 16.21 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.03 0.00 16.21 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 16.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.41 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.03 0.00 16.41 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.51 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 16.51 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 16.60 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 16.60 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 16.75 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.12 0.03 16.78 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 16.90 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.02 0.00 16.90 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 17.04 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.07 0.00 17.04 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 17.16 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.03 0.00 17.17 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 17.26 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 17.26 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.13 17.40 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.07 0.01 17.40 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 17.55 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.05 0.00 17.55 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.10 17.65 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.02 0.00 17.65 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.33 0.32 17.97 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.25 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.06 18.02 v soc/core/clkbuf_leaf_22_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.27 v soc/core/clkbuf_leaf_22_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_22_core_clk (net) + 0.07 0.00 18.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.01 0.50 18.77 ^ soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[3] (sky130_sram_2kbyte_1rw1r_32x512_8) + 1 0.02 soc/core/sram_bus_dat_r[3] (net) + 0.01 0.00 18.77 ^ soc/core/repeater2346/A (sky130_fd_sc_hd__buf_2) + 0.13 0.14 18.91 ^ soc/core/repeater2346/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2346 (net) + 0.13 0.01 18.91 ^ soc/core/repeater2345/A (sky130_fd_sc_hd__buf_2) + 0.18 0.21 19.12 ^ soc/core/repeater2345/X (sky130_fd_sc_hd__buf_2) + 2 0.05 soc/core/net2345 (net) + 0.18 0.00 19.12 ^ soc/core/repeater2344/A (sky130_fd_sc_hd__buf_2) + 0.16 0.19 19.31 ^ soc/core/repeater2344/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2344 (net) + 0.16 0.01 19.32 ^ soc/core/repeater2343/A (sky130_fd_sc_hd__buf_2) + 0.13 0.17 19.49 ^ soc/core/repeater2343/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2343 (net) + 0.13 0.01 19.50 ^ soc/core/repeater2342/A (sky130_fd_sc_hd__buf_2) + 0.13 0.17 19.67 ^ soc/core/repeater2342/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2342 (net) + 0.13 0.01 19.67 ^ soc/core/repeater2341/A (sky130_fd_sc_hd__buf_2) + 0.12 0.16 19.83 ^ soc/core/repeater2341/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2341 (net) + 0.12 0.00 19.83 ^ soc/core/repeater2340/A (sky130_fd_sc_hd__buf_2) + 0.14 0.17 20.01 ^ soc/core/repeater2340/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2340 (net) + 0.14 0.01 20.01 ^ soc/core/_18686_/B (sky130_fd_sc_hd__and2_1) + 0.05 0.10 20.11 ^ soc/core/_18686_/X (sky130_fd_sc_hd__and2_1) + 1 0.00 soc/core/_08430_ (net) + 0.05 0.00 20.11 ^ soc/core/_18687_/C1 (sky130_fd_sc_hd__a221o_1) + 0.09 0.11 20.22 ^ soc/core/_18687_/X (sky130_fd_sc_hd__a221o_1) + 1 0.01 soc/core/_08431_ (net) + 0.09 0.00 20.22 ^ soc/core/_18688_/C1 (sky130_fd_sc_hd__a221oi_4) + 0.11 0.02 20.24 v soc/core/_18688_/Y (sky130_fd_sc_hd__a221oi_4) + 1 0.00 soc/core/_08432_ (net) + 0.11 0.00 20.24 v soc/core/hold1047/A (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.06 0.16 20.40 v soc/core/hold1047/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 1 0.02 soc/core/net3896 (net) + 0.06 0.00 20.40 v soc/core/_18689_/C1 (sky130_fd_sc_hd__o2111ai_4) + 0.11 0.07 20.46 ^ soc/core/_18689_/Y (sky130_fd_sc_hd__o2111ai_4) + 1 0.00 soc/core/VexRiscv.dBusWishbone_DAT_MISO[3] (net) + 0.11 0.00 20.46 ^ soc/core/hold1043/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.42 20.88 ^ soc/core/hold1043/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3893 (net) + 0.06 0.00 20.88 ^ soc/core/hold1044/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.05 0.41 21.29 ^ soc/core/hold1044/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.00 soc/core/net3894 (net) + 0.05 0.00 21.29 ^ soc/core/hold1045/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.05 0.41 21.70 ^ soc/core/hold1045/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.00 soc/core/net3895 (net) + 0.05 0.00 21.70 ^ soc/core/hold1046/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.12 21.82 ^ soc/core/hold1046/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.02 soc/core/net3892 (net) + 0.06 0.00 21.82 ^ soc/core/hold1668/A (sky130_fd_sc_hd__clkbuf_2) + 0.11 0.13 21.95 ^ soc/core/hold1668/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 soc/core/net4517 (net) + 0.11 0.00 21.95 ^ soc/core/_35305_/D (sky130_fd_sc_hd__dfxtp_4) + 21.95 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 28.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 28.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 28.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 28.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 28.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 28.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 28.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 28.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 28.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 28.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 28.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 28.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 28.80 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.91 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.04 0.00 28.91 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.99 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.03 0.00 28.99 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.12 29.11 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.09 0.00 29.12 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 29.25 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.08 0.00 29.25 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 29.34 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.03 0.00 29.34 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.62 0.44 29.78 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.28 soc/core/clknet_5_25_1_core_clk (net) + 0.62 0.04 29.82 ^ soc/core/clkbuf_leaf_246_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.07 0.16 29.97 ^ soc/core/clkbuf_leaf_246_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 22 0.06 soc/core/clknet_leaf_246_core_clk (net) + 0.07 0.00 29.97 ^ soc/core/_35305_/CLK (sky130_fd_sc_hd__dfxtp_4) + -0.25 29.72 clock uncertainty + 0.46 30.19 clock reconvergence pessimism + -0.04 30.15 library setup time + 30.15 data required time +----------------------------------------------------------------------------- + 30.15 data required time + -21.95 data arrival time +----------------------------------------------------------------------------- + 8.20 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_39304_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.00 15.91 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.16 16.08 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.02 caravel_clk (net) + 0.10 0.00 16.08 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 16.21 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.03 0.00 16.21 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 16.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.41 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.03 0.00 16.41 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.51 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 16.51 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 16.60 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 16.60 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 16.75 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.12 0.03 16.78 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 16.90 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.02 0.00 16.90 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 17.04 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.07 0.00 17.04 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 17.16 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.03 0.00 17.17 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 17.26 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 17.26 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.13 17.40 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.07 0.01 17.40 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 17.55 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.05 0.00 17.55 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.10 17.65 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.02 0.00 17.65 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.33 0.32 17.97 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.25 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.06 18.02 v soc/core/clkbuf_leaf_22_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.27 v soc/core/clkbuf_leaf_22_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_22_core_clk (net) + 0.07 0.00 18.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.01 0.49 18.76 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[19] (sky130_sram_2kbyte_1rw1r_32x512_8) + 1 0.02 soc/core/sram_bus_dat_r[19] (net) + 0.01 0.00 18.76 v soc/core/repeater2433/A (sky130_fd_sc_hd__buf_2) + 0.08 0.13 18.89 v soc/core/repeater2433/X (sky130_fd_sc_hd__buf_2) + 2 0.05 soc/core/net2433 (net) + 0.08 0.00 18.90 v soc/core/repeater2432/A (sky130_fd_sc_hd__buf_2) + 0.08 0.15 19.05 v soc/core/repeater2432/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2432 (net) + 0.08 0.01 19.06 v soc/core/repeater2431/A (sky130_fd_sc_hd__buf_2) + 0.07 0.15 19.21 v soc/core/repeater2431/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2431 (net) + 0.07 0.01 19.22 v soc/core/repeater2430/A (sky130_fd_sc_hd__buf_2) + 0.07 0.14 19.36 v soc/core/repeater2430/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2430 (net) + 0.07 0.01 19.37 v soc/core/repeater2429/A (sky130_fd_sc_hd__buf_2) + 0.07 0.15 19.51 v soc/core/repeater2429/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2429 (net) + 0.07 0.00 19.52 v soc/core/repeater2428/A (sky130_fd_sc_hd__buf_2) + 0.07 0.14 19.66 v soc/core/repeater2428/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2428 (net) + 0.07 0.00 19.66 v soc/core/_18510_/B2 (sky130_fd_sc_hd__a221o_2) + 0.07 0.24 19.90 v soc/core/_18510_/X (sky130_fd_sc_hd__a221o_2) + 2 0.02 soc/core/_08286_ (net) + 0.07 0.00 19.90 v soc/core/_18511_/C1 (sky130_fd_sc_hd__a221o_1) + 0.03 0.11 20.01 v soc/core/_18511_/X (sky130_fd_sc_hd__a221o_1) + 1 0.00 soc/core/_08287_ (net) + 0.03 0.00 20.01 v soc/core/hold1001/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.40 20.41 v soc/core/hold1001/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3850 (net) + 0.06 0.00 20.41 v soc/core/_18512_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.04 0.21 20.63 v soc/core/_18512_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.dBusWishbone_DAT_MISO[19] (net) + 0.04 0.00 20.63 v soc/core/hold998/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.41 21.04 v soc/core/hold998/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3848 (net) + 0.06 0.00 21.04 v soc/core/hold999/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.07 0.43 21.47 v soc/core/hold999/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3849 (net) + 0.07 0.00 21.47 v soc/core/hold1000/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.13 21.60 v soc/core/hold1000/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.03 soc/core/net3847 (net) + 0.07 0.00 21.61 v soc/core/_18514_/B2 (sky130_fd_sc_hd__a221o_1) + 0.06 0.21 21.81 v soc/core/_18514_/X (sky130_fd_sc_hd__a221o_1) + 1 0.01 soc/core/_08289_ (net) + 0.06 0.00 21.81 v soc/core/_18515_/C1 (sky130_fd_sc_hd__o211a_1) + 0.03 0.08 21.89 v soc/core/_18515_/X (sky130_fd_sc_hd__o211a_1) + 1 0.00 soc/core/_06770_ (net) + 0.03 0.00 21.89 v soc/core/_39304_/D (sky130_fd_sc_hd__dfxtp_2) + 21.89 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 28.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 28.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 28.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 28.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 28.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 28.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 28.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 28.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 28.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 28.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 28.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 28.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 28.80 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.91 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.04 0.00 28.91 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.99 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.03 0.00 28.99 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.12 29.11 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.10 0.01 29.12 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 29.25 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.08 0.00 29.25 ^ soc/core/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 29.34 ^ soc/core/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_28_0_core_clk (net) + 0.03 0.00 29.34 ^ soc/core/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.57 0.43 29.77 ^ soc/core/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.26 soc/core/clknet_5_28_1_core_clk (net) + 0.58 0.03 29.80 ^ soc/core/clkbuf_leaf_225_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.15 29.95 ^ soc/core/clkbuf_leaf_225_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 13 0.05 soc/core/clknet_leaf_225_core_clk (net) + 0.06 0.00 29.95 ^ soc/core/_39304_/CLK (sky130_fd_sc_hd__dfxtp_2) + -0.25 29.70 clock uncertainty + 0.46 30.16 clock reconvergence pessimism + -0.07 30.09 library setup time + 30.09 data required time +----------------------------------------------------------------------------- + 30.09 data required time + -21.89 data arrival time +----------------------------------------------------------------------------- + 8.20 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_39285_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.00 15.91 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.16 16.08 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.02 caravel_clk (net) + 0.10 0.00 16.08 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 16.21 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.03 0.00 16.21 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 16.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.41 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.03 0.00 16.41 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.51 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 16.51 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 16.60 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 16.60 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 16.75 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.12 0.03 16.78 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 16.90 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.02 0.00 16.90 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 17.04 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.07 0.00 17.04 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 17.16 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.03 0.00 17.17 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 17.26 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 17.26 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.13 17.40 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.07 0.01 17.40 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 17.55 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.05 0.00 17.55 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.10 17.65 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.02 0.00 17.65 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.33 0.32 17.97 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.25 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.06 18.02 v soc/core/clkbuf_leaf_22_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.27 v soc/core/clkbuf_leaf_22_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_22_core_clk (net) + 0.07 0.00 18.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.01 0.46 18.73 ^ soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[0] (sky130_sram_2kbyte_1rw1r_32x512_8) + 1 0.01 soc/core/sram_bus_dat_r[0] (net) + 0.01 0.00 18.73 ^ soc/core/repeater2490/A (sky130_fd_sc_hd__buf_2) + 0.19 0.18 18.91 ^ soc/core/repeater2490/X (sky130_fd_sc_hd__buf_2) + 2 0.05 soc/core/net2490 (net) + 0.19 0.00 18.91 ^ soc/core/repeater2489/A (sky130_fd_sc_hd__buf_2) + 0.15 0.19 19.10 ^ soc/core/repeater2489/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2489 (net) + 0.15 0.00 19.10 ^ soc/core/repeater2488/A (sky130_fd_sc_hd__buf_2) + 0.14 0.17 19.27 ^ soc/core/repeater2488/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2488 (net) + 0.14 0.01 19.28 ^ soc/core/repeater2487/A (sky130_fd_sc_hd__buf_2) + 0.19 0.21 19.49 ^ soc/core/repeater2487/X (sky130_fd_sc_hd__buf_2) + 2 0.05 soc/core/net2487 (net) + 0.19 0.01 19.50 ^ soc/core/repeater2486/A (sky130_fd_sc_hd__buf_2) + 0.13 0.17 19.67 ^ soc/core/repeater2486/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2486 (net) + 0.13 0.00 19.67 ^ soc/core/repeater2485/A (sky130_fd_sc_hd__buf_2) + 0.11 0.16 19.83 ^ soc/core/repeater2485/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2485 (net) + 0.11 0.00 19.84 ^ soc/core/repeater2484/A (sky130_fd_sc_hd__buf_2) + 0.16 0.18 20.02 ^ soc/core/repeater2484/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2484 (net) + 0.16 0.01 20.02 ^ soc/core/_18725_/B1 (sky130_fd_sc_hd__a22o_2) + 0.14 0.18 20.20 ^ soc/core/_18725_/X (sky130_fd_sc_hd__a22o_2) + 2 0.03 soc/core/_08463_ (net) + 0.14 0.01 20.21 ^ soc/core/_18727_/C1 (sky130_fd_sc_hd__a2111oi_4) + 0.11 0.03 20.24 v soc/core/_18727_/Y (sky130_fd_sc_hd__a2111oi_4) + 1 0.00 soc/core/_08465_ (net) + 0.11 0.00 20.24 v soc/core/hold834/A (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.06 0.16 20.39 v soc/core/hold834/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 1 0.02 soc/core/net3683 (net) + 0.06 0.00 20.39 v soc/core/_18728_/B1 (sky130_fd_sc_hd__o211ai_4) + 0.09 0.06 20.45 ^ soc/core/_18728_/Y (sky130_fd_sc_hd__o211ai_4) + 1 0.00 soc/core/VexRiscv.dBusWishbone_DAT_MISO[0] (net) + 0.09 0.00 20.45 ^ soc/core/hold830/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.04 0.40 20.86 ^ soc/core/hold830/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.00 soc/core/net3680 (net) + 0.04 0.00 20.86 ^ soc/core/hold831/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.05 0.40 21.26 ^ soc/core/hold831/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.00 soc/core/net3681 (net) + 0.05 0.00 21.26 ^ soc/core/hold832/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.04 0.39 21.65 ^ soc/core/hold832/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.00 soc/core/net3682 (net) + 0.04 0.00 21.65 ^ soc/core/hold833/A (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.12 0.13 21.78 ^ soc/core/hold833/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 2 0.01 soc/core/net3679 (net) + 0.12 0.00 21.78 ^ soc/core/_18729_/C (sky130_fd_sc_hd__nand3_1) + 0.06 0.06 21.83 v soc/core/_18729_/Y (sky130_fd_sc_hd__nand3_1) + 1 0.00 soc/core/_08466_ (net) + 0.06 0.00 21.83 v soc/core/_18731_/A2 (sky130_fd_sc_hd__a31oi_1) + 0.09 0.11 21.95 ^ soc/core/_18731_/Y (sky130_fd_sc_hd__a31oi_1) + 1 0.00 soc/core/_06751_ (net) + 0.09 0.00 21.95 ^ soc/core/_39285_/D (sky130_fd_sc_hd__dfxtp_2) + 21.95 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 28.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 28.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 28.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 28.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 28.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 28.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 28.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 28.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 28.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 28.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 28.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 28.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 28.80 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.91 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.04 0.00 28.91 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.99 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.03 0.00 28.99 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.12 29.11 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.09 0.00 29.12 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 29.25 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.08 0.00 29.25 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 29.34 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.03 0.00 29.34 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.62 0.44 29.78 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.28 soc/core/clknet_5_25_1_core_clk (net) + 0.62 0.04 29.82 ^ soc/core/clkbuf_leaf_239_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.15 29.97 ^ soc/core/clkbuf_leaf_239_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 10 0.04 soc/core/clknet_leaf_239_core_clk (net) + 0.06 0.00 29.97 ^ soc/core/_39285_/CLK (sky130_fd_sc_hd__dfxtp_2) + -0.25 29.72 clock uncertainty + 0.46 30.19 clock reconvergence pessimism + -0.04 30.15 library setup time + 30.15 data required time +----------------------------------------------------------------------------- + 30.15 data required time + -21.95 data arrival time +----------------------------------------------------------------------------- + 8.20 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_39305_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.00 15.91 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.16 16.08 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.02 caravel_clk (net) + 0.10 0.00 16.08 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 16.21 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.03 0.00 16.21 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 16.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.41 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.03 0.00 16.41 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.51 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 16.51 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 16.60 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 16.60 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 16.75 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.12 0.03 16.78 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 16.90 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.02 0.00 16.90 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 17.04 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.07 0.00 17.04 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 17.16 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.03 0.00 17.17 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 17.26 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 17.26 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.13 17.40 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.07 0.01 17.40 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 17.55 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.05 0.00 17.55 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.10 17.65 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.02 0.00 17.65 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.33 0.32 17.97 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.25 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.06 18.02 v soc/core/clkbuf_leaf_22_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.27 v soc/core/clkbuf_leaf_22_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_22_core_clk (net) + 0.07 0.00 18.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.01 0.52 18.80 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[20] (sky130_sram_2kbyte_1rw1r_32x512_8) + 1 0.02 soc/core/sram_bus_dat_r[20] (net) + 0.01 0.00 18.80 v soc/core/repeater2420/A (sky130_fd_sc_hd__buf_2) + 0.08 0.13 18.93 v soc/core/repeater2420/X (sky130_fd_sc_hd__buf_2) + 2 0.05 soc/core/net2420 (net) + 0.08 0.00 18.93 v soc/core/repeater2419/A (sky130_fd_sc_hd__buf_2) + 0.08 0.15 19.08 v soc/core/repeater2419/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2419 (net) + 0.08 0.01 19.09 v soc/core/repeater2418/A (sky130_fd_sc_hd__buf_2) + 0.06 0.14 19.23 v soc/core/repeater2418/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2418 (net) + 0.07 0.01 19.24 v soc/core/repeater2417/A (sky130_fd_sc_hd__buf_2) + 0.07 0.14 19.38 v soc/core/repeater2417/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2417 (net) + 0.07 0.01 19.38 v soc/core/repeater2416/A (sky130_fd_sc_hd__buf_2) + 0.06 0.14 19.52 v soc/core/repeater2416/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2416 (net) + 0.06 0.01 19.53 v soc/core/repeater2415/A (sky130_fd_sc_hd__buf_2) + 0.07 0.14 19.66 v soc/core/repeater2415/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2415 (net) + 0.07 0.01 19.67 v soc/core/_18499_/B (sky130_fd_sc_hd__and2_1) + 0.03 0.12 19.79 v soc/core/_18499_/X (sky130_fd_sc_hd__and2_1) + 1 0.00 soc/core/_08277_ (net) + 0.03 0.00 19.79 v soc/core/_18500_/C1 (sky130_fd_sc_hd__a221o_2) + 0.07 0.16 19.95 v soc/core/_18500_/X (sky130_fd_sc_hd__a221o_2) + 2 0.03 soc/core/_08278_ (net) + 0.07 0.00 19.95 v soc/core/_18501_/C1 (sky130_fd_sc_hd__a221o_1) + 0.03 0.11 20.06 v soc/core/_18501_/X (sky130_fd_sc_hd__a221o_1) + 1 0.00 soc/core/_08279_ (net) + 0.03 0.00 20.06 v soc/core/hold881/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.05 0.39 20.45 v soc/core/hold881/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3730 (net) + 0.05 0.00 20.45 v soc/core/_18502_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.05 0.22 20.67 v soc/core/_18502_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.dBusWishbone_DAT_MISO[20] (net) + 0.05 0.00 20.67 v soc/core/hold878/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.42 21.09 v soc/core/hold878/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3728 (net) + 0.06 0.00 21.09 v soc/core/hold879/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.42 21.51 v soc/core/hold879/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3729 (net) + 0.06 0.00 21.51 v soc/core/hold880/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.12 21.64 v soc/core/hold880/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/core/net3727 (net) + 0.07 0.00 21.64 v soc/core/_18504_/A2 (sky130_fd_sc_hd__a211o_1) + 0.04 0.20 21.84 v soc/core/_18504_/X (sky130_fd_sc_hd__a211o_1) + 1 0.00 soc/core/_08281_ (net) + 0.04 0.00 21.84 v soc/core/_18505_/C1 (sky130_fd_sc_hd__o211a_1) + 0.03 0.07 21.91 v soc/core/_18505_/X (sky130_fd_sc_hd__o211a_1) + 1 0.00 soc/core/_06771_ (net) + 0.03 0.00 21.91 v soc/core/_39305_/D (sky130_fd_sc_hd__dfxtp_2) + 21.91 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 28.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 28.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 28.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 28.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 28.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 28.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 28.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 28.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 28.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 28.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 28.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 28.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 28.80 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.91 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.04 0.00 28.91 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.99 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.03 0.00 28.99 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.12 29.11 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.09 0.00 29.12 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 29.25 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.08 0.00 29.25 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 29.34 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.03 0.00 29.34 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.62 0.44 29.78 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.28 soc/core/clknet_5_25_1_core_clk (net) + 0.62 0.03 29.81 ^ soc/core/clkbuf_leaf_241_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.07 0.16 29.97 ^ soc/core/clkbuf_leaf_241_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.06 soc/core/clknet_leaf_241_core_clk (net) + 0.07 0.00 29.97 ^ soc/core/_39305_/CLK (sky130_fd_sc_hd__dfxtp_2) + -0.25 29.72 clock uncertainty + 0.46 30.18 clock reconvergence pessimism + -0.07 30.11 library setup time + 30.11 data required time +----------------------------------------------------------------------------- + 30.11 data required time + -21.91 data arrival time +----------------------------------------------------------------------------- + 8.21 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_39308_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.00 15.91 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.16 16.08 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.02 caravel_clk (net) + 0.10 0.00 16.08 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 16.21 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.03 0.00 16.21 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 16.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.41 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.03 0.00 16.41 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.51 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 16.51 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 16.60 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 16.60 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 16.75 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.12 0.03 16.78 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 16.90 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.02 0.00 16.90 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 17.04 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.07 0.00 17.04 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 17.16 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.03 0.00 17.17 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 17.26 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 17.26 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.13 17.40 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.07 0.01 17.40 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 17.55 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.05 0.00 17.55 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.10 17.65 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.02 0.00 17.65 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.33 0.32 17.97 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.25 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.06 18.02 v soc/core/clkbuf_leaf_22_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.27 v soc/core/clkbuf_leaf_22_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_22_core_clk (net) + 0.07 0.00 18.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.01 0.46 18.73 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[23] (sky130_sram_2kbyte_1rw1r_32x512_8) + 1 0.01 soc/core/sram_bus_dat_r[23] (net) + 0.01 0.00 18.73 v soc/core/repeater2402/A (sky130_fd_sc_hd__buf_2) + 0.08 0.12 18.86 v soc/core/repeater2402/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2402 (net) + 0.08 0.01 18.86 v soc/core/repeater2401/A (sky130_fd_sc_hd__buf_2) + 0.08 0.16 19.03 v soc/core/repeater2401/X (sky130_fd_sc_hd__buf_2) + 2 0.05 soc/core/net2401 (net) + 0.08 0.00 19.03 v soc/core/repeater2400/A (sky130_fd_sc_hd__buf_2) + 0.07 0.15 19.18 v soc/core/repeater2400/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2400 (net) + 0.07 0.00 19.18 v soc/core/repeater2399/A (sky130_fd_sc_hd__buf_2) + 0.07 0.14 19.33 v soc/core/repeater2399/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2399 (net) + 0.07 0.01 19.34 v soc/core/repeater2398/A (sky130_fd_sc_hd__buf_2) + 0.07 0.14 19.48 v soc/core/repeater2398/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2398 (net) + 0.07 0.00 19.48 v soc/core/repeater2397/A (sky130_fd_sc_hd__buf_2) + 0.07 0.14 19.62 v soc/core/repeater2397/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2397 (net) + 0.07 0.01 19.63 v soc/core/_18473_/B (sky130_fd_sc_hd__and2_1) + 0.03 0.13 19.76 v soc/core/_18473_/X (sky130_fd_sc_hd__and2_1) + 1 0.00 soc/core/_08257_ (net) + 0.03 0.00 19.76 v soc/core/_18474_/C1 (sky130_fd_sc_hd__a221o_2) + 0.07 0.15 19.91 v soc/core/_18474_/X (sky130_fd_sc_hd__a221o_2) + 2 0.02 soc/core/_08258_ (net) + 0.07 0.00 19.91 v soc/core/_18475_/C1 (sky130_fd_sc_hd__a221o_1) + 0.03 0.11 20.02 v soc/core/_18475_/X (sky130_fd_sc_hd__a221o_1) + 1 0.00 soc/core/_08259_ (net) + 0.03 0.00 20.02 v soc/core/hold964/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.41 20.43 v soc/core/hold964/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3813 (net) + 0.06 0.00 20.43 v soc/core/_18476_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.04 0.21 20.65 v soc/core/_18476_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.dBusWishbone_DAT_MISO[23] (net) + 0.04 0.00 20.65 v soc/core/hold961/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.42 21.07 v soc/core/hold961/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3811 (net) + 0.06 0.00 21.07 v soc/core/hold962/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.42 21.49 v soc/core/hold962/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3812 (net) + 0.06 0.00 21.49 v soc/core/hold963/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.12 21.61 v soc/core/hold963/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/core/net3810 (net) + 0.06 0.00 21.61 v soc/core/_18477_/B2 (sky130_fd_sc_hd__a221o_1) + 0.04 0.18 21.79 v soc/core/_18477_/X (sky130_fd_sc_hd__a221o_1) + 1 0.00 soc/core/_08260_ (net) + 0.04 0.00 21.79 v soc/core/_18478_/C1 (sky130_fd_sc_hd__o211a_1) + 0.04 0.08 21.87 v soc/core/_18478_/X (sky130_fd_sc_hd__o211a_1) + 1 0.01 soc/core/_06774_ (net) + 0.04 0.00 21.87 v soc/core/_39308_/D (sky130_fd_sc_hd__dfxtp_2) + 21.87 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 28.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 28.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 28.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 28.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 28.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 28.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 28.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 28.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 28.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 28.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 28.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 28.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 28.80 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.91 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.04 0.00 28.91 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.99 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.03 0.00 28.99 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.12 29.11 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.10 0.01 29.12 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 29.25 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.08 0.00 29.25 ^ soc/core/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 29.34 ^ soc/core/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_28_0_core_clk (net) + 0.03 0.00 29.34 ^ soc/core/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.57 0.43 29.77 ^ soc/core/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.26 soc/core/clknet_5_28_1_core_clk (net) + 0.58 0.03 29.80 ^ soc/core/clkbuf_leaf_225_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.15 29.95 ^ soc/core/clkbuf_leaf_225_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 13 0.05 soc/core/clknet_leaf_225_core_clk (net) + 0.06 0.00 29.95 ^ soc/core/_39308_/CLK (sky130_fd_sc_hd__dfxtp_2) + -0.25 29.70 clock uncertainty + 0.46 30.16 clock reconvergence pessimism + -0.07 30.09 library setup time + 30.09 data required time +----------------------------------------------------------------------------- + 30.09 data required time + -21.87 data arrival time +----------------------------------------------------------------------------- + 8.22 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_35308_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.00 15.91 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.16 16.08 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.02 caravel_clk (net) + 0.10 0.00 16.08 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 16.21 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.03 0.00 16.21 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 16.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.41 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.03 0.00 16.41 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.51 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 16.51 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 16.60 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 16.60 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 16.75 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.12 0.03 16.78 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 16.90 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.02 0.00 16.90 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 17.04 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.07 0.00 17.04 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 17.16 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.03 0.00 17.17 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 17.26 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 17.26 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.13 17.40 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.07 0.01 17.40 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 17.55 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.05 0.00 17.55 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.10 17.65 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.02 0.00 17.65 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.33 0.32 17.97 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.25 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.06 18.02 v soc/core/clkbuf_leaf_22_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.27 v soc/core/clkbuf_leaf_22_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_22_core_clk (net) + 0.07 0.00 18.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.01 0.54 18.82 ^ soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[6] (sky130_sram_2kbyte_1rw1r_32x512_8) + 2 0.03 soc/core/sram_bus_dat_r[6] (net) + 0.01 0.00 18.82 ^ soc/core/repeater2326/A (sky130_fd_sc_hd__buf_2) + 0.16 0.16 18.97 ^ soc/core/repeater2326/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2326 (net) + 0.16 0.01 18.98 ^ soc/core/repeater2325/A (sky130_fd_sc_hd__buf_2) + 0.15 0.19 19.17 ^ soc/core/repeater2325/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2325 (net) + 0.15 0.01 19.18 ^ soc/core/repeater2324/A (sky130_fd_sc_hd__buf_2) + 0.19 0.21 19.39 ^ soc/core/repeater2324/X (sky130_fd_sc_hd__buf_2) + 2 0.05 soc/core/net2324 (net) + 0.19 0.00 19.39 ^ soc/core/repeater2323/A (sky130_fd_sc_hd__buf_2) + 0.13 0.18 19.57 ^ soc/core/repeater2323/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2323 (net) + 0.14 0.01 19.58 ^ soc/core/repeater2322/A (sky130_fd_sc_hd__buf_2) + 0.13 0.17 19.75 ^ soc/core/repeater2322/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2322 (net) + 0.13 0.01 19.75 ^ soc/core/repeater2321/A (sky130_fd_sc_hd__buf_2) + 0.12 0.17 19.92 ^ soc/core/repeater2321/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2321 (net) + 0.12 0.00 19.92 ^ soc/core/_18650_/B (sky130_fd_sc_hd__and2_1) + 0.05 0.10 20.02 ^ soc/core/_18650_/X (sky130_fd_sc_hd__and2_1) + 1 0.00 soc/core/_08400_ (net) + 0.05 0.00 20.02 ^ soc/core/_18651_/C1 (sky130_fd_sc_hd__a221o_1) + 0.09 0.11 20.13 ^ soc/core/_18651_/X (sky130_fd_sc_hd__a221o_1) + 1 0.01 soc/core/_08401_ (net) + 0.09 0.00 20.13 ^ soc/core/_18652_/C1 (sky130_fd_sc_hd__a221oi_4) + 0.13 0.03 20.16 v soc/core/_18652_/Y (sky130_fd_sc_hd__a221oi_4) + 1 0.01 soc/core/_08402_ (net) + 0.13 0.00 20.16 v soc/core/_18653_/C1 (sky130_fd_sc_hd__o2111ai_4) + 0.12 0.09 20.25 ^ soc/core/_18653_/Y (sky130_fd_sc_hd__o2111ai_4) + 1 0.00 soc/core/VexRiscv.dBusWishbone_DAT_MISO[6] (net) + 0.12 0.00 20.25 ^ soc/core/hold1016/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.05 0.41 20.67 ^ soc/core/hold1016/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.00 soc/core/net3866 (net) + 0.05 0.00 20.67 ^ soc/core/hold1017/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.05 0.40 21.07 ^ soc/core/hold1017/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.00 soc/core/net3867 (net) + 0.05 0.00 21.07 ^ soc/core/hold1018/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.04 0.40 21.47 ^ soc/core/hold1018/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.00 soc/core/net3868 (net) + 0.04 0.00 21.47 ^ soc/core/hold1019/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.10 0.44 21.91 ^ soc/core/hold1019/X (sky130_fd_sc_hd__dlygate4sd3_1) + 2 0.01 soc/core/net3865 (net) + 0.10 0.00 21.91 ^ soc/core/_35308_/D (sky130_fd_sc_hd__dfxtp_4) + 21.91 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 28.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 28.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 28.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 28.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 28.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 28.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 28.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 28.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 28.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 28.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 28.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 28.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 28.80 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.91 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.04 0.00 28.91 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.99 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.03 0.00 28.99 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.12 29.11 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.09 0.00 29.12 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 29.25 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.08 0.00 29.25 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 29.34 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.03 0.00 29.34 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.62 0.44 29.78 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.28 soc/core/clknet_5_25_1_core_clk (net) + 0.62 0.02 29.80 ^ soc/core/clkbuf_leaf_238_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.16 29.96 ^ soc/core/clkbuf_leaf_238_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 28 0.07 soc/core/clknet_leaf_238_core_clk (net) + 0.08 0.00 29.96 ^ soc/core/_35308_/CLK (sky130_fd_sc_hd__dfxtp_4) + -0.25 29.71 clock uncertainty + 0.46 30.17 clock reconvergence pessimism + -0.04 30.14 library setup time + 30.14 data required time +----------------------------------------------------------------------------- + 30.14 data required time + -21.91 data arrival time +----------------------------------------------------------------------------- + 8.23 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_35314_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.00 15.91 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.16 16.08 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.02 caravel_clk (net) + 0.10 0.00 16.08 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 16.21 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.03 0.00 16.21 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 16.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.41 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.03 0.00 16.41 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.51 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 16.51 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 16.60 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 16.60 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 16.75 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.12 0.03 16.78 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 16.90 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.02 0.00 16.90 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 17.04 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.07 0.00 17.04 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 17.16 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.03 0.00 17.17 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 17.26 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 17.26 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.13 17.40 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.07 0.01 17.40 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 17.55 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.05 0.00 17.55 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.10 17.65 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.02 0.00 17.65 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.33 0.32 17.97 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.25 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.06 18.02 v soc/core/clkbuf_leaf_22_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.27 v soc/core/clkbuf_leaf_22_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_22_core_clk (net) + 0.07 0.00 18.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.01 0.44 18.71 ^ soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[12] (sky130_sram_2kbyte_1rw1r_32x512_8) + 1 0.01 soc/core/sram_bus_dat_r[12] (net) + 0.00 0.00 18.71 ^ soc/core/repeater2471/A (sky130_fd_sc_hd__buf_2) + 0.16 0.16 18.87 ^ soc/core/repeater2471/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2471 (net) + 0.16 0.01 18.88 ^ soc/core/repeater2470/A (sky130_fd_sc_hd__buf_2) + 0.17 0.20 19.07 ^ soc/core/repeater2470/X (sky130_fd_sc_hd__buf_2) + 2 0.05 soc/core/net2470 (net) + 0.17 0.01 19.08 ^ soc/core/repeater2469/A (sky130_fd_sc_hd__buf_2) + 0.16 0.19 19.27 ^ soc/core/repeater2469/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2469 (net) + 0.16 0.01 19.28 ^ soc/core/repeater2468/A (sky130_fd_sc_hd__buf_2) + 0.14 0.18 19.46 ^ soc/core/repeater2468/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2468 (net) + 0.15 0.01 19.46 ^ soc/core/repeater2467/A (sky130_fd_sc_hd__buf_2) + 0.14 0.18 19.64 ^ soc/core/repeater2467/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2467 (net) + 0.15 0.01 19.65 ^ soc/core/repeater2466/A (sky130_fd_sc_hd__buf_2) + 0.14 0.18 19.83 ^ soc/core/repeater2466/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2466 (net) + 0.14 0.00 19.83 ^ soc/core/_18583_/A2 (sky130_fd_sc_hd__a21o_1) + 0.09 0.13 19.96 ^ soc/core/_18583_/X (sky130_fd_sc_hd__a21o_1) + 1 0.01 soc/core/_08345_ (net) + 0.09 0.00 19.96 ^ soc/core/_18584_/C1 (sky130_fd_sc_hd__a221oi_4) + 0.11 0.02 19.98 v soc/core/_18584_/Y (sky130_fd_sc_hd__a221oi_4) + 1 0.00 soc/core/_08346_ (net) + 0.11 0.00 19.98 v soc/core/hold1095/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.44 20.42 v soc/core/hold1095/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3944 (net) + 0.06 0.00 20.42 v soc/core/_18585_/C1 (sky130_fd_sc_hd__o2111ai_4) + 0.12 0.07 20.49 ^ soc/core/_18585_/Y (sky130_fd_sc_hd__o2111ai_4) + 1 0.00 soc/core/VexRiscv.dBusWishbone_DAT_MISO[12] (net) + 0.12 0.00 20.49 ^ soc/core/hold1091/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.07 0.43 20.92 ^ soc/core/hold1091/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3941 (net) + 0.07 0.00 20.92 ^ soc/core/hold1092/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.42 21.34 ^ soc/core/hold1092/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3942 (net) + 0.06 0.00 21.34 ^ soc/core/hold1093/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.42 21.76 ^ soc/core/hold1093/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3943 (net) + 0.06 0.00 21.76 ^ soc/core/hold1094/A (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.13 0.14 21.90 ^ soc/core/hold1094/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 2 0.01 soc/core/net3940 (net) + 0.13 0.00 21.90 ^ soc/core/_35314_/D (sky130_fd_sc_hd__dfxtp_4) + 21.90 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 28.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 28.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 28.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 28.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 28.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 28.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 28.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 28.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 28.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 28.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 28.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 28.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 28.80 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.91 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.04 0.00 28.91 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.99 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.03 0.00 28.99 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.12 29.11 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.09 0.00 29.12 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 29.25 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.08 0.00 29.25 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 29.34 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.03 0.00 29.34 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.62 0.44 29.78 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.28 soc/core/clknet_5_25_1_core_clk (net) + 0.62 0.03 29.81 ^ soc/core/clkbuf_leaf_242_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.15 29.95 ^ soc/core/clkbuf_leaf_242_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 10 0.04 soc/core/clknet_leaf_242_core_clk (net) + 0.06 0.00 29.96 ^ soc/core/_35314_/CLK (sky130_fd_sc_hd__dfxtp_4) + -0.25 29.71 clock uncertainty + 0.46 30.17 clock reconvergence pessimism + -0.04 30.13 library setup time + 30.13 data required time +----------------------------------------------------------------------------- + 30.13 data required time + -21.90 data arrival time +----------------------------------------------------------------------------- + 8.23 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_39306_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.00 15.91 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.16 16.08 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.02 caravel_clk (net) + 0.10 0.00 16.08 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 16.21 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.03 0.00 16.21 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 16.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.41 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.03 0.00 16.41 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.51 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 16.51 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 16.60 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 16.60 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 16.75 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.12 0.03 16.78 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 16.90 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.02 0.00 16.90 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 17.04 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.07 0.00 17.04 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 17.16 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.03 0.00 17.17 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 17.26 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 17.26 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.13 17.40 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.07 0.01 17.40 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 17.55 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.05 0.00 17.55 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.10 17.65 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.02 0.00 17.65 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.33 0.32 17.97 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.25 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.06 18.02 v soc/core/clkbuf_leaf_22_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.27 v soc/core/clkbuf_leaf_22_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_22_core_clk (net) + 0.07 0.00 18.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.01 0.47 18.74 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[21] (sky130_sram_2kbyte_1rw1r_32x512_8) + 1 0.01 soc/core/sram_bus_dat_r[21] (net) + 0.01 0.00 18.74 v soc/core/repeater2414/A (sky130_fd_sc_hd__buf_2) + 0.08 0.13 18.88 v soc/core/repeater2414/X (sky130_fd_sc_hd__buf_2) + 2 0.05 soc/core/net2414 (net) + 0.09 0.00 18.88 v soc/core/repeater2413/A (sky130_fd_sc_hd__buf_2) + 0.09 0.16 19.04 v soc/core/repeater2413/X (sky130_fd_sc_hd__buf_2) + 2 0.05 soc/core/net2413 (net) + 0.09 0.01 19.05 v soc/core/repeater2412/A (sky130_fd_sc_hd__buf_2) + 0.07 0.15 19.21 v soc/core/repeater2412/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2412 (net) + 0.07 0.01 19.21 v soc/core/repeater2411/A (sky130_fd_sc_hd__buf_2) + 0.06 0.14 19.35 v soc/core/repeater2411/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2411 (net) + 0.06 0.01 19.36 v soc/core/repeater2410/A (sky130_fd_sc_hd__buf_2) + 0.07 0.14 19.50 v soc/core/repeater2410/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2410 (net) + 0.07 0.01 19.50 v soc/core/repeater2409/A (sky130_fd_sc_hd__buf_2) + 0.07 0.15 19.65 v soc/core/repeater2409/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2409 (net) + 0.07 0.00 19.65 v soc/core/_18491_/B2 (sky130_fd_sc_hd__a221o_2) + 0.07 0.24 19.90 v soc/core/_18491_/X (sky130_fd_sc_hd__a221o_2) + 2 0.03 soc/core/_08271_ (net) + 0.07 0.00 19.90 v soc/core/_18492_/C1 (sky130_fd_sc_hd__a221o_1) + 0.03 0.11 20.02 v soc/core/_18492_/X (sky130_fd_sc_hd__a221o_1) + 1 0.00 soc/core/_08272_ (net) + 0.03 0.00 20.02 v soc/core/hold909/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.05 0.40 20.41 v soc/core/hold909/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3758 (net) + 0.05 0.00 20.41 v soc/core/_18493_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.04 0.21 20.62 v soc/core/_18493_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.dBusWishbone_DAT_MISO[21] (net) + 0.04 0.00 20.62 v soc/core/hold906/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.41 21.04 v soc/core/hold906/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3756 (net) + 0.06 0.00 21.04 v soc/core/hold907/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.07 0.43 21.47 v soc/core/hold907/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3757 (net) + 0.07 0.00 21.47 v soc/core/hold908/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.13 21.60 v soc/core/hold908/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.03 soc/core/net3755 (net) + 0.07 0.00 21.60 v soc/core/_18495_/A2 (sky130_fd_sc_hd__a211o_1) + 0.04 0.20 21.80 v soc/core/_18495_/X (sky130_fd_sc_hd__a211o_1) + 1 0.00 soc/core/_08274_ (net) + 0.04 0.00 21.80 v soc/core/_18496_/C1 (sky130_fd_sc_hd__o211a_1) + 0.03 0.07 21.87 v soc/core/_18496_/X (sky130_fd_sc_hd__o211a_1) + 1 0.00 soc/core/_06772_ (net) + 0.03 0.00 21.87 v soc/core/_39306_/D (sky130_fd_sc_hd__dfxtp_2) + 21.87 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 28.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 28.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 28.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 28.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 28.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 28.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 28.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 28.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 28.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 28.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 28.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 28.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 28.80 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.91 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.04 0.00 28.91 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.99 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.03 0.00 28.99 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.12 29.11 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.09 0.00 29.12 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 29.25 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.08 0.00 29.25 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 29.34 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.03 0.00 29.34 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.62 0.44 29.78 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.28 soc/core/clknet_5_25_1_core_clk (net) + 0.62 0.03 29.81 ^ soc/core/clkbuf_leaf_241_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.07 0.16 29.97 ^ soc/core/clkbuf_leaf_241_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.06 soc/core/clknet_leaf_241_core_clk (net) + 0.07 0.00 29.97 ^ soc/core/_39306_/CLK (sky130_fd_sc_hd__dfxtp_2) + -0.25 29.72 clock uncertainty + 0.46 30.18 clock reconvergence pessimism + -0.07 30.11 library setup time + 30.11 data required time +----------------------------------------------------------------------------- + 30.11 data required time + -21.87 data arrival time +----------------------------------------------------------------------------- + 8.24 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_39302_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.00 15.91 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.16 16.08 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.02 caravel_clk (net) + 0.10 0.00 16.08 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 16.21 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.03 0.00 16.21 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 16.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.41 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.03 0.00 16.41 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.51 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 16.51 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 16.60 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 16.60 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 16.75 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.12 0.03 16.78 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 16.90 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.02 0.00 16.90 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 17.04 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.07 0.00 17.04 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 17.16 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.03 0.00 17.17 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 17.26 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 17.26 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.13 17.40 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.07 0.01 17.40 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 17.55 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.05 0.00 17.55 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.10 17.65 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.02 0.00 17.65 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.33 0.32 17.97 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.25 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.06 18.02 v soc/core/clkbuf_leaf_22_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.27 v soc/core/clkbuf_leaf_22_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_22_core_clk (net) + 0.07 0.00 18.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.01 0.50 18.78 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[17] (sky130_sram_2kbyte_1rw1r_32x512_8) + 1 0.02 soc/core/sram_bus_dat_r[17] (net) + 0.01 0.00 18.78 v soc/core/repeater2445/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 18.91 v soc/core/repeater2445/X (sky130_fd_sc_hd__buf_2) + 2 0.05 soc/core/net2445 (net) + 0.09 0.00 18.91 v soc/core/repeater2444/A (sky130_fd_sc_hd__buf_2) + 0.08 0.15 19.07 v soc/core/repeater2444/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2444 (net) + 0.08 0.01 19.07 v soc/core/repeater2443/A (sky130_fd_sc_hd__buf_2) + 0.07 0.15 19.22 v soc/core/repeater2443/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2443 (net) + 0.07 0.01 19.23 v soc/core/repeater2442/A (sky130_fd_sc_hd__buf_2) + 0.06 0.14 19.36 v soc/core/repeater2442/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2442 (net) + 0.06 0.00 19.36 v soc/core/repeater2441/A (sky130_fd_sc_hd__buf_2) + 0.07 0.13 19.50 v soc/core/repeater2441/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2441 (net) + 0.07 0.01 19.51 v soc/core/repeater2440/A (sky130_fd_sc_hd__buf_2) + 0.07 0.14 19.65 v soc/core/repeater2440/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2440 (net) + 0.07 0.00 19.65 v soc/core/_18528_/B2 (sky130_fd_sc_hd__a221o_2) + 0.07 0.24 19.90 v soc/core/_18528_/X (sky130_fd_sc_hd__a221o_2) + 2 0.03 soc/core/_08300_ (net) + 0.07 0.00 19.90 v soc/core/_18529_/C1 (sky130_fd_sc_hd__a221o_1) + 0.03 0.11 20.01 v soc/core/_18529_/X (sky130_fd_sc_hd__a221o_1) + 1 0.00 soc/core/_08301_ (net) + 0.03 0.00 20.01 v soc/core/hold1037/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.40 20.41 v soc/core/hold1037/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3886 (net) + 0.06 0.00 20.41 v soc/core/_18530_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.04 0.21 20.63 v soc/core/_18530_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.dBusWishbone_DAT_MISO[17] (net) + 0.04 0.00 20.63 v soc/core/hold1034/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.41 21.03 v soc/core/hold1034/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3884 (net) + 0.06 0.00 21.04 v soc/core/hold1035/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.42 21.46 v soc/core/hold1035/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3885 (net) + 0.06 0.00 21.46 v soc/core/hold1036/A (sky130_fd_sc_hd__clkbuf_2) + 0.08 0.13 21.59 v soc/core/hold1036/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.03 soc/core/net3883 (net) + 0.08 0.00 21.59 v soc/core/_18531_/B2 (sky130_fd_sc_hd__a221o_1) + 0.04 0.18 21.77 v soc/core/_18531_/X (sky130_fd_sc_hd__a221o_1) + 1 0.00 soc/core/_08302_ (net) + 0.04 0.00 21.77 v soc/core/_18532_/C1 (sky130_fd_sc_hd__o211a_1) + 0.03 0.07 21.84 v soc/core/_18532_/X (sky130_fd_sc_hd__o211a_1) + 1 0.00 soc/core/_06768_ (net) + 0.03 0.00 21.84 v soc/core/_39302_/D (sky130_fd_sc_hd__dfxtp_2) + 21.84 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 28.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 28.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 28.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 28.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 28.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 28.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 28.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 28.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 28.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 28.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 28.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 28.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 28.80 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.91 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.04 0.00 28.91 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.99 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.03 0.00 28.99 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.12 29.11 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.09 0.00 29.12 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 29.25 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.08 0.00 29.25 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 29.34 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.03 0.00 29.34 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.62 0.44 29.78 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.28 soc/core/clknet_5_25_1_core_clk (net) + 0.62 0.03 29.81 ^ soc/core/clkbuf_leaf_242_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.15 29.95 ^ soc/core/clkbuf_leaf_242_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 10 0.04 soc/core/clknet_leaf_242_core_clk (net) + 0.06 0.00 29.96 ^ soc/core/_39302_/CLK (sky130_fd_sc_hd__dfxtp_2) + -0.25 29.71 clock uncertainty + 0.46 30.17 clock reconvergence pessimism + -0.07 30.10 library setup time + 30.10 data required time +----------------------------------------------------------------------------- + 30.10 data required time + -21.84 data arrival time +----------------------------------------------------------------------------- + 8.26 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_35310_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.00 15.91 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.16 16.08 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.02 caravel_clk (net) + 0.10 0.00 16.08 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 16.21 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.03 0.00 16.21 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 16.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.41 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.03 0.00 16.41 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.51 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 16.51 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 16.60 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 16.60 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 16.75 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.12 0.03 16.78 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 16.90 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.02 0.00 16.90 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 17.04 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.07 0.00 17.04 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 17.16 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.03 0.00 17.17 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 17.26 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 17.26 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.13 17.40 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.07 0.01 17.40 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 17.55 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.05 0.00 17.55 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.10 17.65 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.02 0.00 17.65 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.33 0.32 17.97 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.25 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.06 18.02 v soc/core/clkbuf_leaf_22_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.27 v soc/core/clkbuf_leaf_22_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_22_core_clk (net) + 0.07 0.00 18.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.01 0.47 18.75 ^ soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[8] (sky130_sram_2kbyte_1rw1r_32x512_8) + 1 0.01 soc/core/sram_bus_dat_r[8] (net) + 0.01 0.00 18.75 ^ soc/core/repeater2314/A (sky130_fd_sc_hd__buf_2) + 0.17 0.16 18.91 ^ soc/core/repeater2314/X (sky130_fd_sc_hd__buf_2) + 2 0.05 soc/core/net2314 (net) + 0.18 0.01 18.92 ^ soc/core/repeater2313/A (sky130_fd_sc_hd__buf_2) + 0.16 0.19 19.11 ^ soc/core/repeater2313/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2313 (net) + 0.16 0.01 19.12 ^ soc/core/repeater2312/A (sky130_fd_sc_hd__buf_2) + 0.15 0.19 19.31 ^ soc/core/repeater2312/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2312 (net) + 0.15 0.01 19.32 ^ soc/core/repeater2311/A (sky130_fd_sc_hd__buf_2) + 0.15 0.19 19.51 ^ soc/core/repeater2311/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2311 (net) + 0.15 0.00 19.51 ^ soc/core/repeater2310/A (sky130_fd_sc_hd__buf_2) + 0.13 0.17 19.68 ^ soc/core/repeater2310/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2310 (net) + 0.13 0.00 19.69 ^ soc/core/repeater2309/A (sky130_fd_sc_hd__buf_2) + 0.13 0.17 19.86 ^ soc/core/repeater2309/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2309 (net) + 0.14 0.01 19.87 ^ soc/core/_18624_/A2 (sky130_fd_sc_hd__a21o_1) + 0.07 0.12 19.99 ^ soc/core/_18624_/X (sky130_fd_sc_hd__a21o_1) + 1 0.01 soc/core/_08378_ (net) + 0.07 0.00 19.99 ^ soc/core/_18625_/C1 (sky130_fd_sc_hd__a221oi_4) + 0.11 0.02 20.00 v soc/core/_18625_/Y (sky130_fd_sc_hd__a221oi_4) + 1 0.00 soc/core/_08379_ (net) + 0.11 0.00 20.00 v soc/core/hold1057/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.44 20.44 v soc/core/hold1057/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3906 (net) + 0.06 0.00 20.44 v soc/core/_18626_/C1 (sky130_fd_sc_hd__o2111ai_4) + 0.12 0.07 20.51 ^ soc/core/_18626_/Y (sky130_fd_sc_hd__o2111ai_4) + 1 0.00 soc/core/VexRiscv.dBusWishbone_DAT_MISO[8] (net) + 0.12 0.00 20.51 ^ soc/core/hold1053/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.43 20.94 ^ soc/core/hold1053/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3903 (net) + 0.06 0.00 20.94 ^ soc/core/hold1054/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.41 21.35 ^ soc/core/hold1054/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3904 (net) + 0.06 0.00 21.35 ^ soc/core/hold1055/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.05 0.41 21.76 ^ soc/core/hold1055/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3905 (net) + 0.05 0.00 21.76 ^ soc/core/hold1056/A (sky130_fd_sc_hd__clkbuf_2) + 0.09 0.12 21.88 ^ soc/core/hold1056/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 soc/core/net3902 (net) + 0.09 0.00 21.88 ^ soc/core/_35310_/D (sky130_fd_sc_hd__dfxtp_4) + 21.88 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 28.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 28.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 28.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 28.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 28.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 28.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 28.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 28.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 28.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 28.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 28.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 28.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 28.80 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.91 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.04 0.00 28.91 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.99 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.03 0.00 28.99 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.12 29.11 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.09 0.00 29.12 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 29.25 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.08 0.00 29.25 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 29.34 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.03 0.00 29.34 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.62 0.44 29.78 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.28 soc/core/clknet_5_25_1_core_clk (net) + 0.62 0.03 29.81 ^ soc/core/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.15 29.96 ^ soc/core/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 13 0.05 soc/core/clknet_leaf_243_core_clk (net) + 0.06 0.00 29.96 ^ soc/core/_35310_/CLK (sky130_fd_sc_hd__dfxtp_4) + -0.25 29.71 clock uncertainty + 0.46 30.17 clock reconvergence pessimism + -0.04 30.14 library setup time + 30.14 data required time +----------------------------------------------------------------------------- + 30.14 data required time + -21.88 data arrival time +----------------------------------------------------------------------------- + 8.26 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_35312_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.00 15.91 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.16 16.08 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.02 caravel_clk (net) + 0.10 0.00 16.08 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 16.21 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.03 0.00 16.21 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 16.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.41 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.03 0.00 16.41 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.51 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 16.51 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 16.60 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 16.60 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 16.75 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.12 0.03 16.78 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 16.90 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.02 0.00 16.90 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 17.04 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.07 0.00 17.04 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 17.16 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.03 0.00 17.17 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 17.26 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 17.26 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.13 17.40 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.07 0.01 17.40 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 17.55 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.05 0.00 17.55 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.10 17.65 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.02 0.00 17.65 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.33 0.32 17.97 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.25 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.06 18.02 v soc/core/clkbuf_leaf_22_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.27 v soc/core/clkbuf_leaf_22_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_22_core_clk (net) + 0.07 0.00 18.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.01 0.46 18.73 ^ soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[10] (sky130_sram_2kbyte_1rw1r_32x512_8) + 1 0.01 soc/core/sram_bus_dat_r[10] (net) + 0.01 0.00 18.73 ^ soc/core/repeater2483/A (sky130_fd_sc_hd__buf_2) + 0.17 0.16 18.89 ^ soc/core/repeater2483/X (sky130_fd_sc_hd__buf_2) + 2 0.05 soc/core/net2483 (net) + 0.17 0.01 18.90 ^ soc/core/repeater2482/A (sky130_fd_sc_hd__buf_2) + 0.16 0.19 19.10 ^ soc/core/repeater2482/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2482 (net) + 0.16 0.01 19.10 ^ soc/core/repeater2481/A (sky130_fd_sc_hd__buf_2) + 0.16 0.19 19.29 ^ soc/core/repeater2481/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2481 (net) + 0.16 0.01 19.30 ^ soc/core/repeater2480/A (sky130_fd_sc_hd__buf_2) + 0.14 0.18 19.48 ^ soc/core/repeater2480/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2480 (net) + 0.14 0.01 19.49 ^ soc/core/repeater2479/A (sky130_fd_sc_hd__buf_2) + 0.14 0.18 19.67 ^ soc/core/repeater2479/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2479 (net) + 0.14 0.01 19.67 ^ soc/core/repeater2478/A (sky130_fd_sc_hd__buf_2) + 0.14 0.18 19.85 ^ soc/core/repeater2478/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2478 (net) + 0.14 0.00 19.85 ^ soc/core/_18604_/A2 (sky130_fd_sc_hd__a21o_1) + 0.08 0.12 19.98 ^ soc/core/_18604_/X (sky130_fd_sc_hd__a21o_1) + 1 0.01 soc/core/_08362_ (net) + 0.08 0.00 19.98 ^ soc/core/_18605_/C1 (sky130_fd_sc_hd__a221oi_4) + 0.11 0.02 20.00 v soc/core/_18605_/Y (sky130_fd_sc_hd__a221oi_4) + 1 0.00 soc/core/_08363_ (net) + 0.11 0.00 20.00 v soc/core/hold1067/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.44 20.44 v soc/core/hold1067/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3916 (net) + 0.06 0.00 20.44 v soc/core/_18606_/C1 (sky130_fd_sc_hd__o2111ai_4) + 0.12 0.07 20.50 ^ soc/core/_18606_/Y (sky130_fd_sc_hd__o2111ai_4) + 1 0.00 soc/core/VexRiscv.dBusWishbone_DAT_MISO[10] (net) + 0.12 0.00 20.50 ^ soc/core/hold1063/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.05 0.42 20.92 ^ soc/core/hold1063/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3913 (net) + 0.05 0.00 20.92 ^ soc/core/hold1064/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.42 21.34 ^ soc/core/hold1064/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3914 (net) + 0.06 0.00 21.34 ^ soc/core/hold1065/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.42 21.76 ^ soc/core/hold1065/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3915 (net) + 0.06 0.00 21.76 ^ soc/core/hold1066/A (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.11 0.12 21.88 ^ soc/core/hold1066/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 2 0.01 soc/core/net3912 (net) + 0.11 0.00 21.88 ^ soc/core/_35312_/D (sky130_fd_sc_hd__dfxtp_4) + 21.88 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 28.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 28.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 28.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 28.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 28.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 28.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 28.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 28.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 28.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 28.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 28.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 28.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 28.80 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.91 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.04 0.00 28.91 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.99 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.03 0.00 28.99 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.12 29.11 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.09 0.00 29.12 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 29.25 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.08 0.00 29.25 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 29.34 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.03 0.00 29.34 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.62 0.44 29.78 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.28 soc/core/clknet_5_25_1_core_clk (net) + 0.62 0.03 29.81 ^ soc/core/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.15 29.96 ^ soc/core/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 13 0.05 soc/core/clknet_leaf_243_core_clk (net) + 0.06 0.00 29.96 ^ soc/core/_35312_/CLK (sky130_fd_sc_hd__dfxtp_4) + -0.25 29.71 clock uncertainty + 0.46 30.17 clock reconvergence pessimism + -0.04 30.13 library setup time + 30.13 data required time +----------------------------------------------------------------------------- + 30.13 data required time + -21.88 data arrival time +----------------------------------------------------------------------------- + 8.26 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_39303_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.00 15.91 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.16 16.08 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.02 caravel_clk (net) + 0.10 0.00 16.08 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 16.21 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.03 0.00 16.21 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 16.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.41 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.03 0.00 16.41 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.51 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 16.51 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 16.60 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 16.60 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 16.75 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.12 0.03 16.78 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 16.90 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.02 0.00 16.90 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 17.04 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.07 0.00 17.04 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 17.16 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.03 0.00 17.17 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 17.26 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 17.26 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.13 17.40 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.07 0.01 17.40 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 17.55 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.05 0.00 17.55 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.10 17.65 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.02 0.00 17.65 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.33 0.32 17.97 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.25 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.06 18.02 v soc/core/clkbuf_leaf_22_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.27 v soc/core/clkbuf_leaf_22_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_22_core_clk (net) + 0.07 0.00 18.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.01 0.45 18.73 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[18] (sky130_sram_2kbyte_1rw1r_32x512_8) + 1 0.01 soc/core/sram_bus_dat_r[18] (net) + 0.01 0.00 18.73 v soc/core/repeater2439/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 18.86 v soc/core/repeater2439/X (sky130_fd_sc_hd__buf_2) + 2 0.05 soc/core/net2439 (net) + 0.09 0.00 18.86 v soc/core/repeater2438/A (sky130_fd_sc_hd__buf_2) + 0.09 0.17 19.03 v soc/core/repeater2438/X (sky130_fd_sc_hd__buf_2) + 2 0.05 soc/core/net2438 (net) + 0.09 0.00 19.04 v soc/core/repeater2437/A (sky130_fd_sc_hd__buf_2) + 0.08 0.15 19.19 v soc/core/repeater2437/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2437 (net) + 0.08 0.01 19.20 v soc/core/repeater2436/A (sky130_fd_sc_hd__buf_2) + 0.07 0.15 19.35 v soc/core/repeater2436/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2436 (net) + 0.07 0.01 19.35 v soc/core/repeater2435/A (sky130_fd_sc_hd__buf_2) + 0.06 0.14 19.49 v soc/core/repeater2435/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2435 (net) + 0.06 0.00 19.49 v soc/core/repeater2434/A (sky130_fd_sc_hd__buf_2) + 0.07 0.14 19.64 v soc/core/repeater2434/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2434 (net) + 0.07 0.01 19.64 v soc/core/_18519_/B (sky130_fd_sc_hd__and2_1) + 0.03 0.13 19.77 v soc/core/_18519_/X (sky130_fd_sc_hd__and2_1) + 1 0.01 soc/core/_08293_ (net) + 0.03 0.00 19.77 v soc/core/_18520_/C1 (sky130_fd_sc_hd__a221o_2) + 0.06 0.15 19.92 v soc/core/_18520_/X (sky130_fd_sc_hd__a221o_2) + 2 0.02 soc/core/_08294_ (net) + 0.06 0.00 19.92 v soc/core/_18521_/C1 (sky130_fd_sc_hd__a221o_1) + 0.03 0.11 20.03 v soc/core/_18521_/X (sky130_fd_sc_hd__a221o_1) + 1 0.00 soc/core/_08295_ (net) + 0.03 0.00 20.03 v soc/core/hold991/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.05 0.40 20.43 v soc/core/hold991/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3840 (net) + 0.05 0.00 20.43 v soc/core/_18522_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.04 0.21 20.64 v soc/core/_18522_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.dBusWishbone_DAT_MISO[18] (net) + 0.04 0.00 20.64 v soc/core/hold988/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.41 21.05 v soc/core/hold988/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3838 (net) + 0.06 0.00 21.05 v soc/core/hold989/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.42 21.47 v soc/core/hold989/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3839 (net) + 0.06 0.00 21.47 v soc/core/hold990/A (sky130_fd_sc_hd__buf_2) + 0.05 0.13 21.60 v soc/core/hold990/X (sky130_fd_sc_hd__buf_2) + 4 0.03 soc/core/net3837 (net) + 0.05 0.00 21.60 v soc/core/_18523_/B2 (sky130_fd_sc_hd__a221o_1) + 0.03 0.17 21.77 v soc/core/_18523_/X (sky130_fd_sc_hd__a221o_1) + 1 0.00 soc/core/_08296_ (net) + 0.03 0.00 21.77 v soc/core/_18524_/C1 (sky130_fd_sc_hd__o211a_1) + 0.03 0.07 21.84 v soc/core/_18524_/X (sky130_fd_sc_hd__o211a_1) + 1 0.00 soc/core/_06769_ (net) + 0.03 0.00 21.84 v soc/core/_39303_/D (sky130_fd_sc_hd__dfxtp_1) + 21.84 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 28.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 28.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 28.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 28.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 28.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 28.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 28.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 28.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 28.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 28.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 28.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 28.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 28.80 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.91 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.04 0.00 28.91 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.99 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.03 0.00 28.99 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.12 29.11 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.09 0.00 29.12 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 29.25 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.08 0.00 29.25 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 29.34 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.03 0.00 29.34 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.62 0.44 29.78 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.28 soc/core/clknet_5_25_1_core_clk (net) + 0.62 0.03 29.81 ^ soc/core/clkbuf_leaf_242_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.15 29.95 ^ soc/core/clkbuf_leaf_242_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 10 0.04 soc/core/clknet_leaf_242_core_clk (net) + 0.06 0.00 29.96 ^ soc/core/_39303_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 29.71 clock uncertainty + 0.46 30.17 clock reconvergence pessimism + -0.07 30.10 library setup time + 30.10 data required time +----------------------------------------------------------------------------- + 30.10 data required time + -21.84 data arrival time +----------------------------------------------------------------------------- + 8.26 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_35309_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.00 15.91 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.16 16.08 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.02 caravel_clk (net) + 0.10 0.00 16.08 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 16.21 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.03 0.00 16.21 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 16.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.41 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.03 0.00 16.41 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.51 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 16.51 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 16.60 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 16.60 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 16.75 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.12 0.03 16.78 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 16.90 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.02 0.00 16.90 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 17.04 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.07 0.00 17.04 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 17.16 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.03 0.00 17.17 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 17.26 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 17.26 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.13 17.40 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.07 0.01 17.40 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 17.55 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.05 0.00 17.55 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.10 17.65 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.02 0.00 17.65 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.33 0.32 17.97 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.25 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.06 18.02 v soc/core/clkbuf_leaf_22_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.27 v soc/core/clkbuf_leaf_22_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_22_core_clk (net) + 0.07 0.00 18.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.01 0.52 18.79 ^ soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[7] (sky130_sram_2kbyte_1rw1r_32x512_8) + 2 0.02 soc/core/sram_bus_dat_r[7] (net) + 0.01 0.00 18.80 ^ soc/core/repeater2320/A (sky130_fd_sc_hd__buf_2) + 0.14 0.15 18.94 ^ soc/core/repeater2320/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2320 (net) + 0.14 0.01 18.95 ^ soc/core/repeater2319/A (sky130_fd_sc_hd__buf_2) + 0.14 0.18 19.12 ^ soc/core/repeater2319/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2319 (net) + 0.14 0.01 19.13 ^ soc/core/repeater2318/A (sky130_fd_sc_hd__buf_2) + 0.18 0.21 19.34 ^ soc/core/repeater2318/X (sky130_fd_sc_hd__buf_2) + 2 0.05 soc/core/net2318 (net) + 0.18 0.01 19.35 ^ soc/core/repeater2317/A (sky130_fd_sc_hd__buf_2) + 0.15 0.19 19.53 ^ soc/core/repeater2317/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2317 (net) + 0.15 0.01 19.54 ^ soc/core/repeater2316/A (sky130_fd_sc_hd__buf_2) + 0.12 0.17 19.70 ^ soc/core/repeater2316/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2316 (net) + 0.12 0.00 19.71 ^ soc/core/repeater2315/A (sky130_fd_sc_hd__buf_2) + 0.13 0.17 19.88 ^ soc/core/repeater2315/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2315 (net) + 0.13 0.00 19.88 ^ soc/core/_18638_/B (sky130_fd_sc_hd__and2_1) + 0.05 0.10 19.98 ^ soc/core/_18638_/X (sky130_fd_sc_hd__and2_1) + 1 0.00 soc/core/_08390_ (net) + 0.05 0.00 19.98 ^ soc/core/_18639_/C1 (sky130_fd_sc_hd__a221o_1) + 0.09 0.11 20.08 ^ soc/core/_18639_/X (sky130_fd_sc_hd__a221o_1) + 1 0.01 soc/core/_08391_ (net) + 0.09 0.00 20.08 ^ soc/core/_18640_/C1 (sky130_fd_sc_hd__a221oi_4) + 0.13 0.03 20.11 v soc/core/_18640_/Y (sky130_fd_sc_hd__a221oi_4) + 1 0.01 soc/core/_08392_ (net) + 0.13 0.00 20.11 v soc/core/_18641_/C1 (sky130_fd_sc_hd__o2111ai_4) + 0.12 0.10 20.21 ^ soc/core/_18641_/Y (sky130_fd_sc_hd__o2111ai_4) + 1 0.00 soc/core/VexRiscv.dBusWishbone_DAT_MISO[7] (net) + 0.12 0.00 20.21 ^ soc/core/hold970/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.05 0.42 20.63 ^ soc/core/hold970/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3820 (net) + 0.05 0.00 20.63 ^ soc/core/hold971/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.04 0.40 21.03 ^ soc/core/hold971/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.00 soc/core/net3821 (net) + 0.04 0.00 21.03 ^ soc/core/hold972/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.05 0.41 21.44 ^ soc/core/hold972/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3822 (net) + 0.05 0.00 21.44 ^ soc/core/hold973/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.08 0.43 21.87 ^ soc/core/hold973/X (sky130_fd_sc_hd__dlygate4sd3_1) + 2 0.01 soc/core/net3819 (net) + 0.08 0.00 21.87 ^ soc/core/_35309_/D (sky130_fd_sc_hd__dfxtp_4) + 21.87 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 28.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 28.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 28.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 28.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 28.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 28.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 28.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 28.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 28.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 28.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 28.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 28.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 28.80 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.91 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.04 0.00 28.91 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.99 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.03 0.00 28.99 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.12 29.11 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.09 0.00 29.12 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 29.25 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.08 0.00 29.25 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 29.34 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.03 0.00 29.34 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.62 0.44 29.78 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.28 soc/core/clknet_5_25_1_core_clk (net) + 0.62 0.02 29.80 ^ soc/core/clkbuf_leaf_238_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.16 29.96 ^ soc/core/clkbuf_leaf_238_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 28 0.07 soc/core/clknet_leaf_238_core_clk (net) + 0.08 0.00 29.96 ^ soc/core/_35309_/CLK (sky130_fd_sc_hd__dfxtp_4) + -0.25 29.71 clock uncertainty + 0.46 30.18 clock reconvergence pessimism + -0.04 30.14 library setup time + 30.14 data required time +----------------------------------------------------------------------------- + 30.14 data required time + -21.87 data arrival time +----------------------------------------------------------------------------- + 8.27 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_39312_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.00 15.91 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.16 16.08 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.02 caravel_clk (net) + 0.10 0.00 16.08 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 16.21 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.03 0.00 16.21 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 16.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.41 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.03 0.00 16.41 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.51 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 16.51 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 16.60 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 16.60 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 16.75 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.12 0.03 16.78 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 16.90 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.02 0.00 16.90 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 17.04 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.07 0.00 17.04 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 17.16 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.03 0.00 17.17 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 17.26 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 17.26 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.13 17.40 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.07 0.01 17.40 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 17.55 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.05 0.00 17.55 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.10 17.65 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.02 0.00 17.65 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.33 0.32 17.97 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.25 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.06 18.02 v soc/core/clkbuf_leaf_22_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.27 v soc/core/clkbuf_leaf_22_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_22_core_clk (net) + 0.07 0.00 18.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.02 0.64 18.92 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[27] (sky130_sram_2kbyte_1rw1r_32x512_8) + 2 0.04 soc/core/sram_bus_dat_r[27] (net) + 0.02 0.01 18.92 v soc/core/repeater2379/A (sky130_fd_sc_hd__buf_2) + 0.08 0.13 19.05 v soc/core/repeater2379/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2379 (net) + 0.08 0.01 19.06 v soc/core/repeater2378/A (sky130_fd_sc_hd__buf_2) + 0.07 0.14 19.20 v soc/core/repeater2378/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2378 (net) + 0.07 0.01 19.21 v soc/core/repeater2377/A (sky130_fd_sc_hd__buf_2) + 0.07 0.14 19.35 v soc/core/repeater2377/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2377 (net) + 0.07 0.01 19.36 v soc/core/repeater2376/A (sky130_fd_sc_hd__buf_2) + 0.07 0.14 19.50 v soc/core/repeater2376/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2376 (net) + 0.07 0.00 19.50 v soc/core/repeater2375/A (sky130_fd_sc_hd__buf_2) + 0.07 0.14 19.64 v soc/core/repeater2375/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2375 (net) + 0.07 0.01 19.65 v soc/core/_18438_/B2 (sky130_fd_sc_hd__a221o_2) + 0.07 0.24 19.89 v soc/core/_18438_/X (sky130_fd_sc_hd__a221o_2) + 2 0.02 soc/core/_08230_ (net) + 0.07 0.00 19.89 v soc/core/_18439_/C1 (sky130_fd_sc_hd__a221o_1) + 0.03 0.11 20.00 v soc/core/_18439_/X (sky130_fd_sc_hd__a221o_1) + 1 0.00 soc/core/_08231_ (net) + 0.03 0.00 20.00 v soc/core/hold1010/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.05 0.39 20.39 v soc/core/hold1010/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3859 (net) + 0.05 0.00 20.39 v soc/core/_18440_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.04 0.21 20.60 v soc/core/_18440_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.dBusWishbone_DAT_MISO[27] (net) + 0.04 0.00 20.60 v soc/core/hold1007/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.42 21.02 v soc/core/hold1007/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3857 (net) + 0.06 0.00 21.02 v soc/core/hold1008/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.42 21.44 v soc/core/hold1008/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3858 (net) + 0.06 0.00 21.44 v soc/core/hold1009/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.12 21.56 v soc/core/hold1009/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/core/net3856 (net) + 0.06 0.00 21.57 v soc/core/_18441_/B2 (sky130_fd_sc_hd__a221o_1) + 0.04 0.18 21.74 v soc/core/_18441_/X (sky130_fd_sc_hd__a221o_1) + 1 0.00 soc/core/_08232_ (net) + 0.04 0.00 21.74 v soc/core/_18442_/C1 (sky130_fd_sc_hd__o211a_1) + 0.04 0.08 21.82 v soc/core/_18442_/X (sky130_fd_sc_hd__o211a_1) + 1 0.01 soc/core/_06778_ (net) + 0.04 0.00 21.82 v soc/core/_39312_/D (sky130_fd_sc_hd__dfxtp_1) + 21.82 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 28.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 28.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 28.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 28.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 28.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 28.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 28.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 28.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 28.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 28.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 28.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 28.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 28.80 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.91 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.04 0.00 28.91 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.99 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.03 0.00 28.99 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.12 29.11 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.10 0.01 29.12 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 29.25 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.08 0.00 29.25 ^ soc/core/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 29.34 ^ soc/core/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_28_0_core_clk (net) + 0.03 0.00 29.34 ^ soc/core/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.57 0.43 29.77 ^ soc/core/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.26 soc/core/clknet_5_28_1_core_clk (net) + 0.58 0.03 29.80 ^ soc/core/clkbuf_leaf_225_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.15 29.95 ^ soc/core/clkbuf_leaf_225_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 13 0.05 soc/core/clknet_leaf_225_core_clk (net) + 0.06 0.00 29.95 ^ soc/core/_39312_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 29.70 clock uncertainty + 0.46 30.16 clock reconvergence pessimism + -0.07 30.09 library setup time + 30.09 data required time +----------------------------------------------------------------------------- + 30.09 data required time + -21.82 data arrival time +----------------------------------------------------------------------------- + 8.27 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_39309_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.00 15.91 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.16 16.08 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.02 caravel_clk (net) + 0.10 0.00 16.08 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 16.21 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.03 0.00 16.21 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 16.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.41 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.03 0.00 16.41 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.51 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 16.51 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 16.60 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 16.60 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 16.75 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.12 0.03 16.78 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 16.90 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.02 0.00 16.90 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 17.04 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.07 0.00 17.04 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 17.16 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.03 0.00 17.17 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 17.26 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 17.26 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.13 17.40 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.07 0.01 17.40 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 17.55 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.05 0.00 17.55 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.10 17.65 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.02 0.00 17.65 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.33 0.32 17.97 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.25 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.06 18.02 v soc/core/clkbuf_leaf_22_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.27 v soc/core/clkbuf_leaf_22_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_22_core_clk (net) + 0.07 0.00 18.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.01 0.46 18.74 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[24] (sky130_sram_2kbyte_1rw1r_32x512_8) + 1 0.01 soc/core/sram_bus_dat_r[24] (net) + 0.01 0.00 18.74 v soc/core/repeater2396/A (sky130_fd_sc_hd__buf_2) + 0.08 0.12 18.86 v soc/core/repeater2396/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2396 (net) + 0.08 0.01 18.87 v soc/core/repeater2395/A (sky130_fd_sc_hd__buf_2) + 0.08 0.15 19.02 v soc/core/repeater2395/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2395 (net) + 0.08 0.01 19.03 v soc/core/repeater2394/A (sky130_fd_sc_hd__buf_2) + 0.06 0.14 19.17 v soc/core/repeater2394/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2394 (net) + 0.06 0.01 19.17 v soc/core/repeater2393/A (sky130_fd_sc_hd__buf_2) + 0.07 0.14 19.31 v soc/core/repeater2393/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2393 (net) + 0.07 0.01 19.32 v soc/core/repeater2392/A (sky130_fd_sc_hd__buf_2) + 0.06 0.14 19.45 v soc/core/repeater2392/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2392 (net) + 0.06 0.00 19.46 v soc/core/repeater2391/A (sky130_fd_sc_hd__buf_2) + 0.07 0.14 19.59 v soc/core/repeater2391/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2391 (net) + 0.07 0.01 19.60 v soc/core/_18463_/B (sky130_fd_sc_hd__and2_1) + 0.03 0.12 19.72 v soc/core/_18463_/X (sky130_fd_sc_hd__and2_1) + 1 0.00 soc/core/_08249_ (net) + 0.03 0.00 19.72 v soc/core/_18464_/C1 (sky130_fd_sc_hd__a221o_2) + 0.07 0.16 19.87 v soc/core/_18464_/X (sky130_fd_sc_hd__a221o_2) + 2 0.03 soc/core/_08250_ (net) + 0.07 0.00 19.88 v soc/core/_18465_/C1 (sky130_fd_sc_hd__a221o_1) + 0.03 0.11 19.99 v soc/core/_18465_/X (sky130_fd_sc_hd__a221o_1) + 1 0.00 soc/core/_08251_ (net) + 0.03 0.00 19.99 v soc/core/hold1028/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.40 20.40 v soc/core/hold1028/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3877 (net) + 0.06 0.00 20.40 v soc/core/_18466_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.04 0.21 20.61 v soc/core/_18466_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.dBusWishbone_DAT_MISO[24] (net) + 0.04 0.00 20.61 v soc/core/hold1025/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.41 21.02 v soc/core/hold1025/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3875 (net) + 0.06 0.00 21.02 v soc/core/hold1026/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.42 21.44 v soc/core/hold1026/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3876 (net) + 0.06 0.00 21.44 v soc/core/hold1027/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.12 21.56 v soc/core/hold1027/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/core/net3874 (net) + 0.06 0.00 21.56 v soc/core/_18467_/B2 (sky130_fd_sc_hd__a221o_1) + 0.04 0.17 21.74 v soc/core/_18467_/X (sky130_fd_sc_hd__a221o_1) + 1 0.00 soc/core/_08252_ (net) + 0.04 0.00 21.74 v soc/core/_18468_/C1 (sky130_fd_sc_hd__o211a_1) + 0.03 0.07 21.81 v soc/core/_18468_/X (sky130_fd_sc_hd__o211a_1) + 1 0.00 soc/core/_06775_ (net) + 0.03 0.00 21.81 v soc/core/_39309_/D (sky130_fd_sc_hd__dfxtp_2) + 21.81 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 28.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 28.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 28.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 28.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 28.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 28.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 28.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 28.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 28.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 28.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 28.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 28.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 28.80 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.91 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.04 0.00 28.91 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.99 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.03 0.00 28.99 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.12 29.11 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.10 0.01 29.12 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 29.25 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.08 0.00 29.25 ^ soc/core/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 29.34 ^ soc/core/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_28_0_core_clk (net) + 0.03 0.00 29.34 ^ soc/core/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.57 0.43 29.77 ^ soc/core/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.26 soc/core/clknet_5_28_1_core_clk (net) + 0.58 0.03 29.80 ^ soc/core/clkbuf_leaf_226_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.14 29.93 ^ soc/core/clkbuf_leaf_226_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 6 0.03 soc/core/clknet_leaf_226_core_clk (net) + 0.05 0.00 29.94 ^ soc/core/_39309_/CLK (sky130_fd_sc_hd__dfxtp_2) + -0.25 29.69 clock uncertainty + 0.46 30.15 clock reconvergence pessimism + -0.07 30.08 library setup time + 30.08 data required time +----------------------------------------------------------------------------- + 30.08 data required time + -21.81 data arrival time +----------------------------------------------------------------------------- + 8.27 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_39287_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.00 15.91 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.16 16.08 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.02 caravel_clk (net) + 0.10 0.00 16.08 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 16.21 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.03 0.00 16.21 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 16.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.41 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.03 0.00 16.41 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.51 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 16.51 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 16.60 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 16.60 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 16.75 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.12 0.03 16.78 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 16.90 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.02 0.00 16.90 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 17.04 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.07 0.00 17.04 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 17.16 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.03 0.00 17.17 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 17.26 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 17.26 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.13 17.40 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.07 0.01 17.40 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 17.55 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.05 0.00 17.55 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.10 17.65 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.02 0.00 17.65 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.33 0.32 17.97 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.25 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.06 18.02 v soc/core/clkbuf_leaf_22_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.27 v soc/core/clkbuf_leaf_22_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_22_core_clk (net) + 0.07 0.00 18.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.01 0.47 18.75 ^ soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[2] (sky130_sram_2kbyte_1rw1r_32x512_8) + 1 0.01 soc/core/sram_bus_dat_r[2] (net) + 0.01 0.00 18.75 ^ soc/core/repeater2363/A (sky130_fd_sc_hd__buf_2) + 0.17 0.17 18.92 ^ soc/core/repeater2363/X (sky130_fd_sc_hd__buf_2) + 2 0.05 soc/core/net2363 (net) + 0.17 0.00 18.92 ^ soc/core/repeater2362/A (sky130_fd_sc_hd__buf_2) + 0.13 0.17 19.09 ^ soc/core/repeater2362/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2362 (net) + 0.13 0.01 19.10 ^ soc/core/repeater2361/A (sky130_fd_sc_hd__buf_2) + 0.20 0.21 19.31 ^ soc/core/repeater2361/X (sky130_fd_sc_hd__buf_2) + 2 0.05 soc/core/net2361 (net) + 0.20 0.01 19.32 ^ soc/core/repeater2360/A (sky130_fd_sc_hd__buf_2) + 0.10 0.15 19.47 ^ soc/core/repeater2360/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2360 (net) + 0.10 0.00 19.48 ^ soc/core/repeater2359/A (sky130_fd_sc_hd__buf_2) + 0.11 0.15 19.63 ^ soc/core/repeater2359/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2359 (net) + 0.11 0.01 19.63 ^ soc/core/repeater2358/A (sky130_fd_sc_hd__buf_2) + 0.11 0.15 19.79 ^ soc/core/repeater2358/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2358 (net) + 0.11 0.00 19.79 ^ soc/core/repeater2357/A (sky130_fd_sc_hd__buf_2) + 0.12 0.16 19.95 ^ soc/core/repeater2357/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2357 (net) + 0.13 0.01 19.96 ^ soc/core/_18696_/B (sky130_fd_sc_hd__and2_1) + 0.05 0.10 20.06 ^ soc/core/_18696_/X (sky130_fd_sc_hd__and2_1) + 1 0.00 soc/core/_08438_ (net) + 0.05 0.00 20.06 ^ soc/core/_18697_/C1 (sky130_fd_sc_hd__a221o_1) + 0.08 0.10 20.17 ^ soc/core/_18697_/X (sky130_fd_sc_hd__a221o_1) + 1 0.01 soc/core/_08439_ (net) + 0.08 0.00 20.17 ^ soc/core/_18698_/C1 (sky130_fd_sc_hd__a221oi_4) + 0.14 0.03 20.20 v soc/core/_18698_/Y (sky130_fd_sc_hd__a221oi_4) + 1 0.02 soc/core/_08440_ (net) + 0.14 0.00 20.20 v soc/core/_18699_/C1 (sky130_fd_sc_hd__o2111ai_4) + 0.11 0.10 20.30 ^ soc/core/_18699_/Y (sky130_fd_sc_hd__o2111ai_4) + 1 0.00 soc/core/VexRiscv.dBusWishbone_DAT_MISO[2] (net) + 0.11 0.00 20.30 ^ soc/core/hold896/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.05 0.42 20.71 ^ soc/core/hold896/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.00 soc/core/net3746 (net) + 0.05 0.00 20.71 ^ soc/core/hold897/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.05 0.40 21.11 ^ soc/core/hold897/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.00 soc/core/net3747 (net) + 0.05 0.00 21.11 ^ soc/core/hold898/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.04 0.40 21.51 ^ soc/core/hold898/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.00 soc/core/net3748 (net) + 0.04 0.00 21.51 ^ soc/core/hold899/A (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.14 0.14 21.66 ^ soc/core/hold899/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 2 0.02 soc/core/net3745 (net) + 0.14 0.00 21.66 ^ soc/core/_18700_/A2_N (sky130_fd_sc_hd__o2bb2ai_1) + 0.09 0.08 21.74 ^ soc/core/_18700_/Y (sky130_fd_sc_hd__o2bb2ai_1) + 1 0.00 soc/core/_08441_ (net) + 0.09 0.00 21.74 ^ soc/core/_18701_/B1 (sky130_fd_sc_hd__a21o_1) + 0.03 0.06 21.80 ^ soc/core/_18701_/X (sky130_fd_sc_hd__a21o_1) + 1 0.00 soc/core/_08442_ (net) + 0.03 0.00 21.80 ^ soc/core/_18702_/C1 (sky130_fd_sc_hd__o211a_1) + 0.03 0.07 21.86 ^ soc/core/_18702_/X (sky130_fd_sc_hd__o211a_1) + 1 0.00 soc/core/_06753_ (net) + 0.03 0.00 21.86 ^ soc/core/_39287_/D (sky130_fd_sc_hd__dfxtp_2) + 21.86 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 28.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 28.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 28.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 28.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 28.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 28.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 28.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 28.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 28.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 28.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 28.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 28.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 28.80 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.91 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.04 0.00 28.91 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.99 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.03 0.00 28.99 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.12 29.11 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.09 0.00 29.12 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 29.25 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.08 0.00 29.25 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 29.34 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.03 0.00 29.34 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.62 0.44 29.78 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.28 soc/core/clknet_5_25_1_core_clk (net) + 0.62 0.03 29.81 ^ soc/core/clkbuf_leaf_240_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.07 0.15 29.96 ^ soc/core/clkbuf_leaf_240_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 18 0.05 soc/core/clknet_leaf_240_core_clk (net) + 0.07 0.00 29.97 ^ soc/core/_39287_/CLK (sky130_fd_sc_hd__dfxtp_2) + -0.25 29.72 clock uncertainty + 0.46 30.18 clock reconvergence pessimism + -0.03 30.15 library setup time + 30.15 data required time +----------------------------------------------------------------------------- + 30.15 data required time + -21.86 data arrival time +----------------------------------------------------------------------------- + 8.28 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_39310_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.00 15.91 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.16 16.08 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.02 caravel_clk (net) + 0.10 0.00 16.08 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 16.21 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.03 0.00 16.21 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 16.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.41 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.03 0.00 16.41 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.51 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 16.51 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 16.60 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 16.60 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 16.75 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.12 0.03 16.78 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 16.90 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.02 0.00 16.90 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 17.04 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.07 0.00 17.04 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 17.16 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.03 0.00 17.17 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 17.26 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 17.26 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.13 17.40 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.07 0.01 17.40 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 17.55 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.05 0.00 17.55 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.10 17.65 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.02 0.00 17.65 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.33 0.32 17.97 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.25 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.06 18.02 v soc/core/clkbuf_leaf_22_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.27 v soc/core/clkbuf_leaf_22_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_22_core_clk (net) + 0.07 0.00 18.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.01 0.47 18.74 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[25] (sky130_sram_2kbyte_1rw1r_32x512_8) + 1 0.01 soc/core/sram_bus_dat_r[25] (net) + 0.01 0.00 18.74 v soc/core/repeater2390/A (sky130_fd_sc_hd__buf_2) + 0.07 0.12 18.86 v soc/core/repeater2390/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2390 (net) + 0.07 0.01 18.86 v soc/core/repeater2389/A (sky130_fd_sc_hd__buf_2) + 0.07 0.14 19.01 v soc/core/repeater2389/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2389 (net) + 0.07 0.01 19.02 v soc/core/repeater2388/A (sky130_fd_sc_hd__buf_2) + 0.07 0.14 19.16 v soc/core/repeater2388/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2388 (net) + 0.07 0.01 19.17 v soc/core/repeater2387/A (sky130_fd_sc_hd__buf_2) + 0.07 0.14 19.31 v soc/core/repeater2387/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2387 (net) + 0.07 0.01 19.31 v soc/core/repeater2386/A (sky130_fd_sc_hd__buf_2) + 0.06 0.13 19.45 v soc/core/repeater2386/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2386 (net) + 0.06 0.00 19.45 v soc/core/repeater2385/A (sky130_fd_sc_hd__buf_2) + 0.06 0.13 19.58 v soc/core/repeater2385/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2385 (net) + 0.06 0.00 19.59 v soc/core/_18455_/A2 (sky130_fd_sc_hd__a221o_4) + 0.06 0.27 19.86 v soc/core/_18455_/X (sky130_fd_sc_hd__a221o_4) + 2 0.03 soc/core/_08243_ (net) + 0.06 0.01 19.86 v soc/core/_18456_/C1 (sky130_fd_sc_hd__a221o_1) + 0.03 0.10 19.97 v soc/core/_18456_/X (sky130_fd_sc_hd__a221o_1) + 1 0.00 soc/core/_08244_ (net) + 0.03 0.00 19.97 v soc/core/hold918/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.05 0.39 20.36 v soc/core/hold918/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3767 (net) + 0.05 0.00 20.36 v soc/core/_18457_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.04 0.21 20.57 v soc/core/_18457_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.dBusWishbone_DAT_MISO[25] (net) + 0.04 0.00 20.57 v soc/core/hold915/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.41 20.98 v soc/core/hold915/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3765 (net) + 0.06 0.00 20.99 v soc/core/hold916/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.43 21.41 v soc/core/hold916/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3766 (net) + 0.06 0.00 21.41 v soc/core/hold917/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.13 21.54 v soc/core/hold917/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/core/net3764 (net) + 0.07 0.00 21.54 v soc/core/_18459_/A2 (sky130_fd_sc_hd__a211o_1) + 0.04 0.21 21.75 v soc/core/_18459_/X (sky130_fd_sc_hd__a211o_1) + 1 0.01 soc/core/_08246_ (net) + 0.04 0.00 21.75 v soc/core/_18460_/C1 (sky130_fd_sc_hd__o211a_1) + 0.03 0.07 21.82 v soc/core/_18460_/X (sky130_fd_sc_hd__o211a_1) + 1 0.00 soc/core/_06776_ (net) + 0.03 0.00 21.82 v soc/core/_39310_/D (sky130_fd_sc_hd__dfxtp_1) + 21.82 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 28.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 28.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 28.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 28.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 28.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 28.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 28.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 28.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 28.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 28.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 28.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 28.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 28.80 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.91 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.04 0.00 28.91 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.99 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.03 0.00 28.99 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.12 29.11 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.09 0.00 29.12 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 29.25 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.08 0.00 29.25 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 29.34 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.03 0.00 29.34 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.62 0.44 29.78 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.28 soc/core/clknet_5_25_1_core_clk (net) + 0.62 0.03 29.81 ^ soc/core/clkbuf_leaf_240_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.07 0.15 29.96 ^ soc/core/clkbuf_leaf_240_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 18 0.05 soc/core/clknet_leaf_240_core_clk (net) + 0.07 0.00 29.96 ^ soc/core/_39310_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 29.71 clock uncertainty + 0.46 30.18 clock reconvergence pessimism + -0.07 30.11 library setup time + 30.11 data required time +----------------------------------------------------------------------------- + 30.11 data required time + -21.82 data arrival time +----------------------------------------------------------------------------- + 8.29 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_39316_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.00 15.91 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.16 16.08 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.02 caravel_clk (net) + 0.10 0.00 16.08 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 16.21 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.03 0.00 16.21 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 16.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.41 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.03 0.00 16.41 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.51 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 16.51 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 16.60 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 16.60 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 16.75 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.12 0.03 16.78 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 16.90 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.02 0.00 16.90 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 17.04 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.07 0.00 17.04 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 17.16 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.03 0.00 17.17 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 17.26 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 17.26 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.13 17.40 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.07 0.01 17.40 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 17.55 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.05 0.00 17.55 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.10 17.65 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.02 0.00 17.65 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.33 0.32 17.97 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.25 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.06 18.02 v soc/core/clkbuf_leaf_22_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.27 v soc/core/clkbuf_leaf_22_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_22_core_clk (net) + 0.07 0.00 18.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.02 0.59 18.87 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[31] (sky130_sram_2kbyte_1rw1r_32x512_8) + 2 0.03 soc/core/sram_bus_dat_r[31] (net) + 0.01 0.00 18.87 v soc/core/repeater2351/A (sky130_fd_sc_hd__buf_2) + 0.08 0.13 19.00 v soc/core/repeater2351/X (sky130_fd_sc_hd__buf_2) + 2 0.05 soc/core/net2351 (net) + 0.08 0.01 19.00 v soc/core/repeater2350/A (sky130_fd_sc_hd__buf_2) + 0.07 0.14 19.14 v soc/core/repeater2350/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2350 (net) + 0.07 0.01 19.15 v soc/core/repeater2349/A (sky130_fd_sc_hd__buf_2) + 0.07 0.14 19.29 v soc/core/repeater2349/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2349 (net) + 0.07 0.01 19.30 v soc/core/repeater2348/A (sky130_fd_sc_hd__buf_2) + 0.07 0.14 19.44 v soc/core/repeater2348/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2348 (net) + 0.07 0.01 19.45 v soc/core/repeater2347/A (sky130_fd_sc_hd__buf_2) + 0.06 0.14 19.58 v soc/core/repeater2347/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2347 (net) + 0.06 0.00 19.59 v soc/core/_18395_/A2 (sky130_fd_sc_hd__a221o_2) + 0.07 0.27 19.85 v soc/core/_18395_/X (sky130_fd_sc_hd__a221o_2) + 2 0.02 soc/core/_08195_ (net) + 0.07 0.00 19.86 v soc/core/_18396_/C1 (sky130_fd_sc_hd__a221o_1) + 0.04 0.12 19.97 v soc/core/_18396_/X (sky130_fd_sc_hd__a221o_1) + 1 0.00 soc/core/_08196_ (net) + 0.04 0.00 19.97 v soc/core/hold927/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.05 0.40 20.37 v soc/core/hold927/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3776 (net) + 0.05 0.00 20.37 v soc/core/_18399_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.04 0.21 20.59 v soc/core/_18399_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.dBusWishbone_DAT_MISO[31] (net) + 0.04 0.00 20.59 v soc/core/hold924/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.41 20.99 v soc/core/hold924/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3774 (net) + 0.06 0.00 21.00 v soc/core/hold925/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.42 21.41 v soc/core/hold925/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3775 (net) + 0.06 0.00 21.41 v soc/core/hold926/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.12 21.54 v soc/core/hold926/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/core/net3773 (net) + 0.06 0.00 21.54 v soc/core/_18401_/B2 (sky130_fd_sc_hd__a221o_1) + 0.04 0.18 21.72 v soc/core/_18401_/X (sky130_fd_sc_hd__a221o_1) + 1 0.00 soc/core/_08200_ (net) + 0.04 0.00 21.72 v soc/core/_18402_/C1 (sky130_fd_sc_hd__o211a_1) + 0.03 0.07 21.79 v soc/core/_18402_/X (sky130_fd_sc_hd__o211a_1) + 1 0.00 soc/core/_06782_ (net) + 0.03 0.00 21.79 v soc/core/_39316_/D (sky130_fd_sc_hd__dfxtp_1) + 21.79 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 28.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 28.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 28.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 28.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 28.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 28.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 28.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 28.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 28.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 28.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 28.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 28.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 28.80 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.91 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.04 0.00 28.91 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.99 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.03 0.00 28.99 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.12 29.11 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.10 0.01 29.12 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 29.25 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.08 0.00 29.25 ^ soc/core/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 29.34 ^ soc/core/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_28_0_core_clk (net) + 0.03 0.00 29.34 ^ soc/core/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.57 0.43 29.77 ^ soc/core/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.26 soc/core/clknet_5_28_1_core_clk (net) + 0.58 0.03 29.80 ^ soc/core/clkbuf_leaf_225_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.15 29.95 ^ soc/core/clkbuf_leaf_225_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 13 0.05 soc/core/clknet_leaf_225_core_clk (net) + 0.06 0.00 29.95 ^ soc/core/_39316_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 29.70 clock uncertainty + 0.46 30.16 clock reconvergence pessimism + -0.07 30.09 library setup time + 30.09 data required time +----------------------------------------------------------------------------- + 30.09 data required time + -21.79 data arrival time +----------------------------------------------------------------------------- + 8.31 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_39314_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.00 15.91 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.16 16.08 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.02 caravel_clk (net) + 0.10 0.00 16.08 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 16.21 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.03 0.00 16.21 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 16.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.41 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.03 0.00 16.41 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.51 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 16.51 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 16.60 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 16.60 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 16.75 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.12 0.03 16.78 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 16.90 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.02 0.00 16.90 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 17.04 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.07 0.00 17.04 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 17.16 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.03 0.00 17.17 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 17.26 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 17.26 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.13 17.40 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.07 0.01 17.40 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 17.55 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.05 0.00 17.55 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.10 17.65 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.02 0.00 17.65 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.33 0.32 17.97 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.25 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.06 18.02 v soc/core/clkbuf_leaf_22_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.27 v soc/core/clkbuf_leaf_22_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_22_core_clk (net) + 0.07 0.00 18.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.02 0.64 18.92 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[29] (sky130_sram_2kbyte_1rw1r_32x512_8) + 2 0.04 soc/core/sram_bus_dat_r[29] (net) + 0.02 0.00 18.92 v soc/core/repeater2368/A (sky130_fd_sc_hd__buf_2) + 0.08 0.13 19.05 v soc/core/repeater2368/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2368 (net) + 0.08 0.01 19.05 v soc/core/repeater2367/A (sky130_fd_sc_hd__buf_2) + 0.07 0.14 19.20 v soc/core/repeater2367/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2367 (net) + 0.07 0.01 19.20 v soc/core/repeater2366/A (sky130_fd_sc_hd__buf_2) + 0.06 0.13 19.34 v soc/core/repeater2366/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2366 (net) + 0.06 0.00 19.34 v soc/core/repeater2365/A (sky130_fd_sc_hd__buf_2) + 0.07 0.13 19.47 v soc/core/repeater2365/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2365 (net) + 0.07 0.01 19.48 v soc/core/repeater2364/A (sky130_fd_sc_hd__buf_2) + 0.07 0.14 19.62 v soc/core/repeater2364/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2364 (net) + 0.07 0.01 19.63 v soc/core/_18422_/B2 (sky130_fd_sc_hd__a221o_2) + 0.07 0.24 19.87 v soc/core/_18422_/X (sky130_fd_sc_hd__a221o_2) + 2 0.03 soc/core/_08218_ (net) + 0.07 0.00 19.88 v soc/core/_18423_/C1 (sky130_fd_sc_hd__a221o_1) + 0.03 0.11 19.99 v soc/core/_18423_/X (sky130_fd_sc_hd__a221o_1) + 1 0.00 soc/core/_08219_ (net) + 0.03 0.00 19.99 v soc/core/hold852/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.05 0.39 20.38 v soc/core/hold852/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3701 (net) + 0.05 0.00 20.38 v soc/core/_18424_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.04 0.21 20.59 v soc/core/_18424_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.dBusWishbone_DAT_MISO[29] (net) + 0.04 0.00 20.59 v soc/core/hold849/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.42 21.01 v soc/core/hold849/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3699 (net) + 0.06 0.00 21.01 v soc/core/hold850/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.42 21.43 v soc/core/hold850/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3700 (net) + 0.06 0.00 21.43 v soc/core/hold851/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.13 21.56 v soc/core/hold851/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.03 soc/core/net3698 (net) + 0.07 0.00 21.56 v soc/core/_18425_/B2 (sky130_fd_sc_hd__a221o_1) + 0.03 0.17 21.73 v soc/core/_18425_/X (sky130_fd_sc_hd__a221o_1) + 1 0.00 soc/core/_08220_ (net) + 0.03 0.00 21.73 v soc/core/_18426_/C1 (sky130_fd_sc_hd__o211a_1) + 0.03 0.07 21.80 v soc/core/_18426_/X (sky130_fd_sc_hd__o211a_1) + 1 0.00 soc/core/_06780_ (net) + 0.03 0.00 21.80 v soc/core/_39314_/D (sky130_fd_sc_hd__dfxtp_1) + 21.80 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 28.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 28.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 28.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 28.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 28.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 28.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 28.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 28.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 28.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 28.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 28.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 28.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 28.80 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.91 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.04 0.00 28.91 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.99 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.03 0.00 28.99 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.12 29.11 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.09 0.00 29.12 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 29.25 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.08 0.00 29.25 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 29.34 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.03 0.00 29.34 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.62 0.44 29.78 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.28 soc/core/clknet_5_25_1_core_clk (net) + 0.62 0.03 29.81 ^ soc/core/clkbuf_leaf_240_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.07 0.15 29.96 ^ soc/core/clkbuf_leaf_240_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 18 0.05 soc/core/clknet_leaf_240_core_clk (net) + 0.07 0.00 29.97 ^ soc/core/_39314_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 29.72 clock uncertainty + 0.46 30.18 clock reconvergence pessimism + -0.06 30.11 library setup time + 30.11 data required time +----------------------------------------------------------------------------- + 30.11 data required time + -21.80 data arrival time +----------------------------------------------------------------------------- + 8.31 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_39307_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.00 15.91 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.16 16.08 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.02 caravel_clk (net) + 0.10 0.00 16.08 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 16.21 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.03 0.00 16.21 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 16.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.41 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.03 0.00 16.41 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.51 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 16.51 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 16.60 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 16.60 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 16.75 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.12 0.03 16.78 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 16.90 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.02 0.00 16.90 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 17.04 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.07 0.00 17.04 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 17.16 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.03 0.00 17.17 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 17.26 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 17.26 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.13 17.40 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.07 0.01 17.40 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 17.55 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.05 0.00 17.55 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.10 17.65 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.02 0.00 17.65 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.33 0.32 17.97 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.25 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.06 18.02 v soc/core/clkbuf_leaf_22_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.27 v soc/core/clkbuf_leaf_22_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_22_core_clk (net) + 0.07 0.00 18.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.01 0.45 18.73 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[22] (sky130_sram_2kbyte_1rw1r_32x512_8) + 1 0.01 soc/core/sram_bus_dat_r[22] (net) + 0.01 0.00 18.73 v soc/core/repeater2408/A (sky130_fd_sc_hd__buf_2) + 0.07 0.11 18.84 v soc/core/repeater2408/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2408 (net) + 0.07 0.01 18.85 v soc/core/repeater2407/A (sky130_fd_sc_hd__buf_2) + 0.08 0.15 18.99 v soc/core/repeater2407/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2407 (net) + 0.08 0.01 19.00 v soc/core/repeater2406/A (sky130_fd_sc_hd__buf_2) + 0.07 0.15 19.15 v soc/core/repeater2406/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2406 (net) + 0.07 0.00 19.15 v soc/core/repeater2405/A (sky130_fd_sc_hd__buf_2) + 0.07 0.14 19.29 v soc/core/repeater2405/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2405 (net) + 0.07 0.01 19.30 v soc/core/repeater2404/A (sky130_fd_sc_hd__buf_2) + 0.07 0.14 19.44 v soc/core/repeater2404/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2404 (net) + 0.07 0.01 19.45 v soc/core/repeater2403/A (sky130_fd_sc_hd__buf_2) + 0.07 0.14 19.59 v soc/core/repeater2403/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2403 (net) + 0.07 0.00 19.60 v soc/core/_18482_/B2 (sky130_fd_sc_hd__a221o_2) + 0.07 0.24 19.84 v soc/core/_18482_/X (sky130_fd_sc_hd__a221o_2) + 2 0.03 soc/core/_08264_ (net) + 0.07 0.00 19.84 v soc/core/_18483_/C1 (sky130_fd_sc_hd__a221o_1) + 0.03 0.11 19.95 v soc/core/_18483_/X (sky130_fd_sc_hd__a221o_1) + 1 0.00 soc/core/_08265_ (net) + 0.03 0.00 19.95 v soc/core/hold954/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.05 0.39 20.34 v soc/core/hold954/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3803 (net) + 0.05 0.00 20.34 v soc/core/_18484_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.04 0.21 20.56 v soc/core/_18484_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.dBusWishbone_DAT_MISO[22] (net) + 0.04 0.00 20.56 v soc/core/hold951/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.41 20.97 v soc/core/hold951/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3801 (net) + 0.06 0.00 20.97 v soc/core/hold952/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.43 21.40 v soc/core/hold952/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3802 (net) + 0.06 0.00 21.40 v soc/core/hold953/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.12 21.52 v soc/core/hold953/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/core/net3800 (net) + 0.06 0.00 21.52 v soc/core/_18486_/A2 (sky130_fd_sc_hd__a211o_1) + 0.03 0.20 21.72 v soc/core/_18486_/X (sky130_fd_sc_hd__a211o_1) + 1 0.00 soc/core/_08267_ (net) + 0.03 0.00 21.72 v soc/core/_18487_/C1 (sky130_fd_sc_hd__o211a_1) + 0.03 0.07 21.79 v soc/core/_18487_/X (sky130_fd_sc_hd__o211a_1) + 1 0.00 soc/core/_06773_ (net) + 0.03 0.00 21.79 v soc/core/_39307_/D (sky130_fd_sc_hd__dfxtp_2) + 21.79 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 28.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 28.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 28.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 28.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 28.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 28.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 28.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 28.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 28.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 28.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 28.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 28.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 28.80 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.91 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.04 0.00 28.91 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.99 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.03 0.00 28.99 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.12 29.11 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.09 0.00 29.12 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 29.25 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.08 0.00 29.25 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 29.34 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.03 0.00 29.34 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.62 0.44 29.78 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.28 soc/core/clknet_5_25_1_core_clk (net) + 0.62 0.03 29.81 ^ soc/core/clkbuf_leaf_240_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.07 0.15 29.96 ^ soc/core/clkbuf_leaf_240_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 18 0.05 soc/core/clknet_leaf_240_core_clk (net) + 0.07 0.00 29.96 ^ soc/core/_39307_/CLK (sky130_fd_sc_hd__dfxtp_2) + -0.25 29.71 clock uncertainty + 0.46 30.18 clock reconvergence pessimism + -0.07 30.11 library setup time + 30.11 data required time +----------------------------------------------------------------------------- + 30.11 data required time + -21.79 data arrival time +----------------------------------------------------------------------------- + 8.32 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_39311_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.00 15.91 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.16 16.08 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.02 caravel_clk (net) + 0.10 0.00 16.08 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 16.21 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.03 0.00 16.21 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 16.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.41 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.03 0.00 16.41 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.51 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 16.51 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 16.60 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 16.60 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 16.75 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.12 0.03 16.78 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 16.90 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.02 0.00 16.90 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 17.04 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.07 0.00 17.04 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 17.16 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.03 0.00 17.17 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 17.26 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 17.26 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.13 17.40 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.07 0.01 17.40 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 17.55 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.05 0.00 17.55 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.10 17.65 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.02 0.00 17.65 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.33 0.32 17.97 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.25 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.06 18.02 v soc/core/clkbuf_leaf_22_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.27 v soc/core/clkbuf_leaf_22_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_22_core_clk (net) + 0.07 0.00 18.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.02 0.58 18.85 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[26] (sky130_sram_2kbyte_1rw1r_32x512_8) + 2 0.03 soc/core/sram_bus_dat_r[26] (net) + 0.01 0.00 18.85 v soc/core/repeater2384/A (sky130_fd_sc_hd__buf_2) + 0.07 0.12 18.98 v soc/core/repeater2384/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2384 (net) + 0.08 0.01 18.98 v soc/core/repeater2383/A (sky130_fd_sc_hd__buf_2) + 0.07 0.15 19.13 v soc/core/repeater2383/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2383 (net) + 0.07 0.00 19.13 v soc/core/repeater2382/A (sky130_fd_sc_hd__buf_2) + 0.07 0.14 19.27 v soc/core/repeater2382/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2382 (net) + 0.07 0.01 19.28 v soc/core/repeater2381/A (sky130_fd_sc_hd__buf_2) + 0.07 0.14 19.42 v soc/core/repeater2381/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2381 (net) + 0.07 0.00 19.42 v soc/core/repeater2380/A (sky130_fd_sc_hd__buf_2) + 0.07 0.14 19.57 v soc/core/repeater2380/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2380 (net) + 0.07 0.01 19.57 v soc/core/_18446_/B2 (sky130_fd_sc_hd__a221o_2) + 0.06 0.24 19.81 v soc/core/_18446_/X (sky130_fd_sc_hd__a221o_2) + 2 0.02 soc/core/_08236_ (net) + 0.07 0.00 19.81 v soc/core/_18447_/C1 (sky130_fd_sc_hd__a221o_1) + 0.03 0.11 19.92 v soc/core/_18447_/X (sky130_fd_sc_hd__a221o_1) + 1 0.00 soc/core/_08237_ (net) + 0.03 0.00 19.92 v soc/core/hold945/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.05 0.39 20.31 v soc/core/hold945/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3794 (net) + 0.05 0.00 20.31 v soc/core/_18448_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.04 0.21 20.52 v soc/core/_18448_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.dBusWishbone_DAT_MISO[26] (net) + 0.04 0.00 20.52 v soc/core/hold942/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.41 20.94 v soc/core/hold942/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3792 (net) + 0.06 0.00 20.94 v soc/core/hold943/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.42 21.36 v soc/core/hold943/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3793 (net) + 0.06 0.00 21.36 v soc/core/hold944/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.13 21.48 v soc/core/hold944/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.03 soc/core/net3791 (net) + 0.07 0.00 21.49 v soc/core/_18450_/A2 (sky130_fd_sc_hd__a211o_1) + 0.04 0.21 21.70 v soc/core/_18450_/X (sky130_fd_sc_hd__a211o_1) + 1 0.01 soc/core/_08239_ (net) + 0.04 0.00 21.70 v soc/core/_18451_/C1 (sky130_fd_sc_hd__o211a_1) + 0.03 0.07 21.77 v soc/core/_18451_/X (sky130_fd_sc_hd__o211a_1) + 1 0.00 soc/core/_06777_ (net) + 0.03 0.00 21.77 v soc/core/_39311_/D (sky130_fd_sc_hd__dfxtp_1) + 21.77 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 28.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 28.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 28.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 28.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 28.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 28.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 28.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 28.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 28.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 28.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 28.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 28.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 28.80 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.91 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.04 0.00 28.91 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.99 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.03 0.00 28.99 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.12 29.11 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.09 0.00 29.12 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 29.25 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.08 0.00 29.25 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 29.34 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.03 0.00 29.34 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.62 0.44 29.78 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.28 soc/core/clknet_5_25_1_core_clk (net) + 0.62 0.03 29.81 ^ soc/core/clkbuf_leaf_240_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.07 0.15 29.96 ^ soc/core/clkbuf_leaf_240_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 18 0.05 soc/core/clknet_leaf_240_core_clk (net) + 0.07 0.00 29.96 ^ soc/core/_39311_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 29.71 clock uncertainty + 0.46 30.18 clock reconvergence pessimism + -0.07 30.11 library setup time + 30.11 data required time +----------------------------------------------------------------------------- + 30.11 data required time + -21.77 data arrival time +----------------------------------------------------------------------------- + 8.34 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_39313_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.00 15.91 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.16 16.08 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.02 caravel_clk (net) + 0.10 0.00 16.08 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 16.21 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.03 0.00 16.21 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 16.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.41 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.03 0.00 16.41 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.51 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 16.51 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 16.60 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 16.60 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 16.75 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.12 0.03 16.78 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 16.90 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.02 0.00 16.90 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 17.04 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.07 0.00 17.04 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 17.16 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.03 0.00 17.17 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 17.26 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 17.26 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.13 17.40 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.07 0.01 17.40 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 17.55 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.05 0.00 17.55 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.10 17.65 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.02 0.00 17.65 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.33 0.32 17.97 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.25 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.06 18.02 v soc/core/clkbuf_leaf_22_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.27 v soc/core/clkbuf_leaf_22_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_22_core_clk (net) + 0.07 0.00 18.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.00 0.43 18.70 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[28] (sky130_sram_2kbyte_1rw1r_32x512_8) + 1 0.01 soc/core/sram_bus_dat_r[28] (net) + 0.00 0.00 18.70 v soc/core/repeater2374/A (sky130_fd_sc_hd__buf_2) + 0.08 0.12 18.82 v soc/core/repeater2374/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2374 (net) + 0.08 0.01 18.83 v soc/core/repeater2373/A (sky130_fd_sc_hd__buf_2) + 0.08 0.15 18.98 v soc/core/repeater2373/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2373 (net) + 0.08 0.01 18.98 v soc/core/repeater2372/A (sky130_fd_sc_hd__buf_2) + 0.07 0.14 19.12 v soc/core/repeater2372/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2372 (net) + 0.07 0.01 19.13 v soc/core/repeater2371/A (sky130_fd_sc_hd__buf_2) + 0.07 0.14 19.27 v soc/core/repeater2371/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2371 (net) + 0.07 0.01 19.27 v soc/core/repeater2370/A (sky130_fd_sc_hd__buf_2) + 0.07 0.14 19.41 v soc/core/repeater2370/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2370 (net) + 0.07 0.01 19.42 v soc/core/repeater2369/A (sky130_fd_sc_hd__buf_2) + 0.06 0.14 19.56 v soc/core/repeater2369/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2369 (net) + 0.06 0.00 19.56 v soc/core/_18430_/B2 (sky130_fd_sc_hd__a221o_4) + 0.06 0.25 19.81 v soc/core/_18430_/X (sky130_fd_sc_hd__a221o_4) + 2 0.03 soc/core/_08224_ (net) + 0.06 0.00 19.81 v soc/core/_18431_/C1 (sky130_fd_sc_hd__a221o_1) + 0.03 0.11 19.92 v soc/core/_18431_/X (sky130_fd_sc_hd__a221o_1) + 1 0.00 soc/core/_08225_ (net) + 0.03 0.00 19.92 v soc/core/hold871/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.05 0.40 20.32 v soc/core/hold871/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3720 (net) + 0.05 0.00 20.32 v soc/core/_18432_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.04 0.21 20.54 v soc/core/_18432_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.dBusWishbone_DAT_MISO[28] (net) + 0.04 0.00 20.54 v soc/core/hold868/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.41 20.95 v soc/core/hold868/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3718 (net) + 0.06 0.00 20.95 v soc/core/hold869/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.42 21.36 v soc/core/hold869/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3719 (net) + 0.06 0.00 21.36 v soc/core/hold870/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.12 21.49 v soc/core/hold870/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/core/net3717 (net) + 0.07 0.00 21.49 v soc/core/_18433_/B2 (sky130_fd_sc_hd__a221o_1) + 0.04 0.18 21.66 v soc/core/_18433_/X (sky130_fd_sc_hd__a221o_1) + 1 0.00 soc/core/_08226_ (net) + 0.04 0.00 21.66 v soc/core/_18434_/C1 (sky130_fd_sc_hd__o211a_1) + 0.03 0.07 21.73 v soc/core/_18434_/X (sky130_fd_sc_hd__o211a_1) + 1 0.00 soc/core/_06779_ (net) + 0.03 0.00 21.73 v soc/core/_39313_/D (sky130_fd_sc_hd__dfxtp_2) + 21.73 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 28.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 28.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 28.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 28.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 28.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 28.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 28.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 28.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 28.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 28.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 28.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 28.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 28.80 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.91 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.04 0.00 28.91 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.99 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.03 0.00 28.99 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.12 29.11 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.10 0.01 29.12 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 29.25 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.08 0.00 29.25 ^ soc/core/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 29.34 ^ soc/core/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_28_0_core_clk (net) + 0.03 0.00 29.34 ^ soc/core/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.57 0.43 29.77 ^ soc/core/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.26 soc/core/clknet_5_28_1_core_clk (net) + 0.58 0.03 29.80 ^ soc/core/clkbuf_leaf_226_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.14 29.93 ^ soc/core/clkbuf_leaf_226_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 6 0.03 soc/core/clknet_leaf_226_core_clk (net) + 0.05 0.00 29.94 ^ soc/core/_39313_/CLK (sky130_fd_sc_hd__dfxtp_2) + -0.25 29.69 clock uncertainty + 0.46 30.15 clock reconvergence pessimism + -0.07 30.08 library setup time + 30.08 data required time +----------------------------------------------------------------------------- + 30.08 data required time + -21.73 data arrival time +----------------------------------------------------------------------------- + 8.35 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_35307_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.00 15.91 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.16 16.08 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.02 caravel_clk (net) + 0.10 0.00 16.08 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 16.21 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.03 0.00 16.21 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 16.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.41 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.03 0.00 16.41 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.51 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 16.51 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 16.60 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 16.60 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 16.75 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.12 0.03 16.78 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 16.90 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.02 0.00 16.90 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 17.04 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.07 0.00 17.04 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 17.16 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.03 0.00 17.17 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 17.26 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 17.26 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.13 17.40 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.07 0.01 17.40 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 17.55 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.05 0.00 17.55 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.10 17.65 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.02 0.00 17.65 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.33 0.32 17.97 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.25 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.06 18.02 v soc/core/clkbuf_leaf_22_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.27 v soc/core/clkbuf_leaf_22_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_22_core_clk (net) + 0.07 0.00 18.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.02 0.64 18.92 ^ soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[5] (sky130_sram_2kbyte_1rw1r_32x512_8) + 2 0.04 soc/core/sram_bus_dat_r[5] (net) + 0.02 0.00 18.92 ^ soc/core/repeater2332/A (sky130_fd_sc_hd__buf_2) + 0.13 0.14 19.05 ^ soc/core/repeater2332/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2332 (net) + 0.13 0.01 19.06 ^ soc/core/repeater2331/A (sky130_fd_sc_hd__buf_2) + 0.18 0.20 19.26 ^ soc/core/repeater2331/X (sky130_fd_sc_hd__buf_2) + 2 0.05 soc/core/net2331 (net) + 0.18 0.00 19.27 ^ soc/core/repeater2330/A (sky130_fd_sc_hd__buf_2) + 0.16 0.19 19.46 ^ soc/core/repeater2330/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2330 (net) + 0.17 0.01 19.47 ^ soc/core/repeater2329/A (sky130_fd_sc_hd__buf_2) + 0.14 0.18 19.65 ^ soc/core/repeater2329/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2329 (net) + 0.14 0.01 19.66 ^ soc/core/repeater2328/A (sky130_fd_sc_hd__buf_2) + 0.12 0.16 19.82 ^ soc/core/repeater2328/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2328 (net) + 0.12 0.00 19.82 ^ soc/core/repeater2327/A (sky130_fd_sc_hd__buf_2) + 0.14 0.17 19.99 ^ soc/core/repeater2327/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2327 (net) + 0.14 0.01 20.00 ^ soc/core/_18662_/B (sky130_fd_sc_hd__and2_1) + 0.04 0.10 20.10 ^ soc/core/_18662_/X (sky130_fd_sc_hd__and2_1) + 1 0.00 soc/core/_08410_ (net) + 0.04 0.00 20.10 ^ soc/core/_18663_/C1 (sky130_fd_sc_hd__a221o_1) + 0.09 0.11 20.20 ^ soc/core/_18663_/X (sky130_fd_sc_hd__a221o_1) + 1 0.01 soc/core/_08411_ (net) + 0.09 0.00 20.20 ^ soc/core/_18664_/C1 (sky130_fd_sc_hd__a221oi_4) + 0.11 0.02 20.22 v soc/core/_18664_/Y (sky130_fd_sc_hd__a221oi_4) + 1 0.00 soc/core/_08412_ (net) + 0.11 0.00 20.22 v soc/core/hold862/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.12 20.34 v soc/core/hold862/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net3711 (net) + 0.05 0.00 20.34 v soc/core/_18665_/C1 (sky130_fd_sc_hd__o2111ai_4) + 0.11 0.06 20.40 ^ soc/core/_18665_/Y (sky130_fd_sc_hd__o2111ai_4) + 1 0.00 soc/core/VexRiscv.dBusWishbone_DAT_MISO[5] (net) + 0.11 0.00 20.40 ^ soc/core/hold858/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.05 0.41 20.82 ^ soc/core/hold858/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.00 soc/core/net3708 (net) + 0.05 0.00 20.82 ^ soc/core/hold859/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.04 0.40 21.22 ^ soc/core/hold859/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.00 soc/core/net3709 (net) + 0.04 0.00 21.22 ^ soc/core/hold860/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.04 0.39 21.61 ^ soc/core/hold860/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.00 soc/core/net3710 (net) + 0.04 0.00 21.61 ^ soc/core/hold861/A (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.16 0.16 21.77 ^ soc/core/hold861/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 2 0.02 soc/core/net3707 (net) + 0.16 0.00 21.77 ^ soc/core/_35307_/D (sky130_fd_sc_hd__dfxtp_4) + 21.77 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 28.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 28.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 28.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 28.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 28.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 28.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 28.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 28.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 28.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 28.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 28.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 28.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 28.80 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.91 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.04 0.00 28.91 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.99 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.03 0.00 28.99 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.12 29.11 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.09 0.00 29.12 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 29.25 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.08 0.00 29.25 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 29.34 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.03 0.00 29.34 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.62 0.44 29.78 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.28 soc/core/clknet_5_25_1_core_clk (net) + 0.62 0.01 29.79 ^ soc/core/clkbuf_leaf_236_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.09 0.17 29.96 ^ soc/core/clkbuf_leaf_236_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 34 0.09 soc/core/clknet_leaf_236_core_clk (net) + 0.09 0.00 29.96 ^ soc/core/_35307_/CLK (sky130_fd_sc_hd__dfxtp_4) + -0.25 29.71 clock uncertainty + 0.46 30.17 clock reconvergence pessimism + -0.04 30.13 library setup time + 30.13 data required time +----------------------------------------------------------------------------- + 30.13 data required time + -21.77 data arrival time +----------------------------------------------------------------------------- + 8.36 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_39315_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.00 15.91 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.16 16.08 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.02 caravel_clk (net) + 0.10 0.00 16.08 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 16.21 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.03 0.00 16.21 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 16.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.41 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.03 0.00 16.41 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.51 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 16.51 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 16.60 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 16.60 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 16.75 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.12 0.03 16.78 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 16.90 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.02 0.00 16.90 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 17.04 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.07 0.00 17.04 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 17.16 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.03 0.00 17.17 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 17.26 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 17.26 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.13 17.40 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.07 0.01 17.40 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 17.55 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.05 0.00 17.55 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.10 17.65 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.02 0.00 17.65 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.33 0.32 17.97 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.25 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.06 18.02 v soc/core/clkbuf_leaf_22_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.27 v soc/core/clkbuf_leaf_22_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_22_core_clk (net) + 0.07 0.00 18.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.01 0.53 18.81 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[30] (sky130_sram_2kbyte_1rw1r_32x512_8) + 2 0.02 soc/core/sram_bus_dat_r[30] (net) + 0.01 0.00 18.81 v soc/core/repeater2356/A (sky130_fd_sc_hd__buf_2) + 0.08 0.13 18.94 v soc/core/repeater2356/X (sky130_fd_sc_hd__buf_2) + 2 0.05 soc/core/net2356 (net) + 0.08 0.00 18.94 v soc/core/repeater2355/A (sky130_fd_sc_hd__buf_2) + 0.07 0.15 19.09 v soc/core/repeater2355/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2355 (net) + 0.07 0.01 19.10 v soc/core/repeater2354/A (sky130_fd_sc_hd__buf_2) + 0.06 0.14 19.23 v soc/core/repeater2354/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2354 (net) + 0.06 0.00 19.24 v soc/core/repeater2353/A (sky130_fd_sc_hd__buf_2) + 0.07 0.14 19.38 v soc/core/repeater2353/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2353 (net) + 0.07 0.01 19.39 v soc/core/repeater2352/A (sky130_fd_sc_hd__buf_2) + 0.06 0.14 19.53 v soc/core/repeater2352/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2352 (net) + 0.06 0.00 19.53 v soc/core/_18409_/B (sky130_fd_sc_hd__and2_1) + 0.03 0.12 19.65 v soc/core/_18409_/X (sky130_fd_sc_hd__and2_1) + 1 0.00 soc/core/_08207_ (net) + 0.03 0.00 19.65 v soc/core/_18410_/C1 (sky130_fd_sc_hd__a221o_2) + 0.07 0.16 19.81 v soc/core/_18410_/X (sky130_fd_sc_hd__a221o_2) + 2 0.02 soc/core/_08208_ (net) + 0.07 0.00 19.81 v soc/core/_18411_/C1 (sky130_fd_sc_hd__a221o_1) + 0.04 0.11 19.92 v soc/core/_18411_/X (sky130_fd_sc_hd__a221o_1) + 1 0.00 soc/core/_08209_ (net) + 0.04 0.00 19.92 v soc/core/hold890/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.05 0.39 20.32 v soc/core/hold890/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3739 (net) + 0.05 0.00 20.32 v soc/core/_18413_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.04 0.21 20.53 v soc/core/_18413_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.dBusWishbone_DAT_MISO[30] (net) + 0.04 0.00 20.53 v soc/core/hold887/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.05 0.40 20.93 v soc/core/hold887/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3737 (net) + 0.05 0.00 20.93 v soc/core/hold888/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.05 0.41 21.34 v soc/core/hold888/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3738 (net) + 0.05 0.00 21.34 v soc/core/hold889/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.13 21.47 v soc/core/hold889/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.03 soc/core/net3736 (net) + 0.07 0.00 21.47 v soc/core/_18416_/A2 (sky130_fd_sc_hd__a211o_1) + 0.03 0.20 21.67 v soc/core/_18416_/X (sky130_fd_sc_hd__a211o_1) + 1 0.00 soc/core/_08213_ (net) + 0.03 0.00 21.67 v soc/core/_18417_/C1 (sky130_fd_sc_hd__o211a_1) + 0.03 0.07 21.74 v soc/core/_18417_/X (sky130_fd_sc_hd__o211a_1) + 1 0.00 soc/core/_06781_ (net) + 0.03 0.00 21.74 v soc/core/_39315_/D (sky130_fd_sc_hd__dfxtp_1) + 21.74 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 28.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 28.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 28.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 28.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 28.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 28.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 28.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 28.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 28.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 28.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 28.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 28.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 28.80 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.91 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.04 0.00 28.91 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.99 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.03 0.00 28.99 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.12 29.11 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.09 0.00 29.12 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 29.25 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.08 0.00 29.25 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 29.34 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.03 0.00 29.34 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.62 0.44 29.78 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.28 soc/core/clknet_5_25_1_core_clk (net) + 0.62 0.04 29.82 ^ soc/core/clkbuf_leaf_239_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.15 29.97 ^ soc/core/clkbuf_leaf_239_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 10 0.04 soc/core/clknet_leaf_239_core_clk (net) + 0.06 0.00 29.97 ^ soc/core/_39315_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 29.72 clock uncertainty + 0.46 30.18 clock reconvergence pessimism + -0.07 30.12 library setup time + 30.12 data required time +----------------------------------------------------------------------------- + 30.12 data required time + -21.74 data arrival time +----------------------------------------------------------------------------- + 8.38 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_35302_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.00 15.91 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.16 16.08 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.02 caravel_clk (net) + 0.10 0.00 16.08 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 16.21 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.03 0.00 16.21 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 16.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.41 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.03 0.00 16.41 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.51 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 16.51 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 16.60 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 16.60 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 16.75 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.12 0.03 16.78 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 16.90 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.02 0.00 16.90 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 17.04 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.07 0.00 17.04 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 17.16 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.03 0.00 17.17 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 17.26 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 17.26 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.13 17.40 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.07 0.01 17.40 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 17.55 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.05 0.00 17.55 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.10 17.65 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.02 0.00 17.65 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.33 0.32 17.97 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.25 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.06 18.02 v soc/core/clkbuf_leaf_22_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.27 v soc/core/clkbuf_leaf_22_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_22_core_clk (net) + 0.07 0.00 18.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.01 0.46 18.73 ^ soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[0] (sky130_sram_2kbyte_1rw1r_32x512_8) + 1 0.01 soc/core/sram_bus_dat_r[0] (net) + 0.01 0.00 18.73 ^ soc/core/repeater2490/A (sky130_fd_sc_hd__buf_2) + 0.19 0.18 18.91 ^ soc/core/repeater2490/X (sky130_fd_sc_hd__buf_2) + 2 0.05 soc/core/net2490 (net) + 0.19 0.00 18.91 ^ soc/core/repeater2489/A (sky130_fd_sc_hd__buf_2) + 0.15 0.19 19.10 ^ soc/core/repeater2489/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2489 (net) + 0.15 0.00 19.10 ^ soc/core/repeater2488/A (sky130_fd_sc_hd__buf_2) + 0.14 0.17 19.27 ^ soc/core/repeater2488/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2488 (net) + 0.14 0.01 19.28 ^ soc/core/repeater2487/A (sky130_fd_sc_hd__buf_2) + 0.19 0.21 19.49 ^ soc/core/repeater2487/X (sky130_fd_sc_hd__buf_2) + 2 0.05 soc/core/net2487 (net) + 0.19 0.01 19.50 ^ soc/core/repeater2486/A (sky130_fd_sc_hd__buf_2) + 0.13 0.17 19.67 ^ soc/core/repeater2486/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2486 (net) + 0.13 0.00 19.67 ^ soc/core/repeater2485/A (sky130_fd_sc_hd__buf_2) + 0.11 0.16 19.83 ^ soc/core/repeater2485/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2485 (net) + 0.11 0.00 19.84 ^ soc/core/repeater2484/A (sky130_fd_sc_hd__buf_2) + 0.16 0.18 20.02 ^ soc/core/repeater2484/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2484 (net) + 0.16 0.01 20.02 ^ soc/core/_18725_/B1 (sky130_fd_sc_hd__a22o_2) + 0.14 0.18 20.20 ^ soc/core/_18725_/X (sky130_fd_sc_hd__a22o_2) + 2 0.03 soc/core/_08463_ (net) + 0.14 0.01 20.21 ^ soc/core/_18727_/C1 (sky130_fd_sc_hd__a2111oi_4) + 0.11 0.03 20.24 v soc/core/_18727_/Y (sky130_fd_sc_hd__a2111oi_4) + 1 0.00 soc/core/_08465_ (net) + 0.11 0.00 20.24 v soc/core/hold834/A (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.06 0.16 20.39 v soc/core/hold834/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 1 0.02 soc/core/net3683 (net) + 0.06 0.00 20.39 v soc/core/_18728_/B1 (sky130_fd_sc_hd__o211ai_4) + 0.09 0.06 20.45 ^ soc/core/_18728_/Y (sky130_fd_sc_hd__o211ai_4) + 1 0.00 soc/core/VexRiscv.dBusWishbone_DAT_MISO[0] (net) + 0.09 0.00 20.45 ^ soc/core/hold830/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.04 0.40 20.86 ^ soc/core/hold830/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.00 soc/core/net3680 (net) + 0.04 0.00 20.86 ^ soc/core/hold831/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.05 0.40 21.26 ^ soc/core/hold831/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.00 soc/core/net3681 (net) + 0.05 0.00 21.26 ^ soc/core/hold832/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.04 0.39 21.65 ^ soc/core/hold832/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.00 soc/core/net3682 (net) + 0.04 0.00 21.65 ^ soc/core/hold833/A (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.12 0.13 21.78 ^ soc/core/hold833/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 2 0.01 soc/core/net3679 (net) + 0.12 0.00 21.78 ^ soc/core/_35302_/D (sky130_fd_sc_hd__dfxtp_4) + 21.78 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 28.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 28.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 28.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 28.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 28.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 28.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 28.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 28.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 28.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 28.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 28.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 28.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 28.80 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.91 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.04 0.00 28.91 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.99 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.03 0.00 28.99 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.12 29.11 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.09 0.00 29.12 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 29.25 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.08 0.00 29.25 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 29.34 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.03 0.00 29.34 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.62 0.44 29.78 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.28 soc/core/clknet_5_25_1_core_clk (net) + 0.62 0.05 29.83 ^ soc/core/clkbuf_leaf_235_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.17 29.99 ^ soc/core/clkbuf_leaf_235_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 32 0.08 soc/core/clknet_leaf_235_core_clk (net) + 0.08 0.00 30.00 ^ soc/core/_35302_/CLK (sky130_fd_sc_hd__dfxtp_4) + -0.25 29.75 clock uncertainty + 0.46 30.21 clock reconvergence pessimism + -0.04 30.17 library setup time + 30.17 data required time +----------------------------------------------------------------------------- + 30.17 data required time + -21.78 data arrival time +----------------------------------------------------------------------------- + 8.39 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_35322_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.00 15.91 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.16 16.08 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.02 caravel_clk (net) + 0.10 0.00 16.08 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 16.21 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.03 0.00 16.21 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 16.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.41 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.03 0.00 16.41 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.51 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 16.51 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 16.60 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 16.60 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 16.75 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.12 0.03 16.78 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 16.90 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.02 0.00 16.90 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 17.04 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.07 0.00 17.04 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 17.16 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.03 0.00 17.17 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 17.26 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 17.26 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.13 17.40 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.07 0.01 17.40 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 17.55 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.05 0.00 17.55 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.10 17.65 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.02 0.00 17.65 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.33 0.32 17.97 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.25 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.06 18.02 v soc/core/clkbuf_leaf_22_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.27 v soc/core/clkbuf_leaf_22_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_22_core_clk (net) + 0.07 0.00 18.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.01 0.52 18.80 ^ soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[20] (sky130_sram_2kbyte_1rw1r_32x512_8) + 1 0.02 soc/core/sram_bus_dat_r[20] (net) + 0.01 0.00 18.80 ^ soc/core/repeater2420/A (sky130_fd_sc_hd__buf_2) + 0.18 0.17 18.97 ^ soc/core/repeater2420/X (sky130_fd_sc_hd__buf_2) + 2 0.05 soc/core/net2420 (net) + 0.18 0.00 18.97 ^ soc/core/repeater2419/A (sky130_fd_sc_hd__buf_2) + 0.16 0.19 19.16 ^ soc/core/repeater2419/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2419 (net) + 0.16 0.01 19.17 ^ soc/core/repeater2418/A (sky130_fd_sc_hd__buf_2) + 0.13 0.17 19.34 ^ soc/core/repeater2418/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2418 (net) + 0.13 0.01 19.35 ^ soc/core/repeater2417/A (sky130_fd_sc_hd__buf_2) + 0.14 0.17 19.52 ^ soc/core/repeater2417/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2417 (net) + 0.14 0.01 19.53 ^ soc/core/repeater2416/A (sky130_fd_sc_hd__buf_2) + 0.13 0.17 19.70 ^ soc/core/repeater2416/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2416 (net) + 0.13 0.01 19.70 ^ soc/core/repeater2415/A (sky130_fd_sc_hd__buf_2) + 0.13 0.17 19.87 ^ soc/core/repeater2415/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2415 (net) + 0.14 0.01 19.88 ^ soc/core/_18499_/B (sky130_fd_sc_hd__and2_1) + 0.05 0.10 19.99 ^ soc/core/_18499_/X (sky130_fd_sc_hd__and2_1) + 1 0.00 soc/core/_08277_ (net) + 0.05 0.00 19.99 ^ soc/core/_18500_/C1 (sky130_fd_sc_hd__a221o_2) + 0.11 0.13 20.11 ^ soc/core/_18500_/X (sky130_fd_sc_hd__a221o_2) + 2 0.03 soc/core/_08278_ (net) + 0.11 0.00 20.12 ^ soc/core/_18501_/C1 (sky130_fd_sc_hd__a221o_1) + 0.04 0.07 20.18 ^ soc/core/_18501_/X (sky130_fd_sc_hd__a221o_1) + 1 0.00 soc/core/_08279_ (net) + 0.04 0.00 20.18 ^ soc/core/hold881/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.41 20.60 ^ soc/core/hold881/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3730 (net) + 0.06 0.00 20.60 ^ soc/core/_18502_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.03 0.08 20.67 ^ soc/core/_18502_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.dBusWishbone_DAT_MISO[20] (net) + 0.03 0.00 20.67 ^ soc/core/hold878/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.09 0.43 21.11 ^ soc/core/hold878/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3728 (net) + 0.09 0.00 21.11 ^ soc/core/hold879/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.08 0.44 21.55 ^ soc/core/hold879/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3729 (net) + 0.08 0.00 21.55 ^ soc/core/hold880/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.14 21.68 ^ soc/core/hold880/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/core/net3727 (net) + 0.10 0.00 21.69 ^ soc/core/_35322_/D (sky130_fd_sc_hd__dfxtp_4) + 21.69 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 28.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 28.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 28.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 28.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 28.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 28.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 28.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 28.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 28.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 28.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 28.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 28.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 28.80 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.91 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.04 0.00 28.91 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.99 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.03 0.00 28.99 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.12 29.11 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.10 0.01 29.12 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 29.25 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.08 0.00 29.25 ^ soc/core/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 29.34 ^ soc/core/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_28_0_core_clk (net) + 0.03 0.00 29.34 ^ soc/core/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.57 0.43 29.77 ^ soc/core/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.26 soc/core/clknet_5_28_1_core_clk (net) + 0.58 0.03 29.80 ^ soc/core/clkbuf_leaf_225_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.15 29.95 ^ soc/core/clkbuf_leaf_225_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 13 0.05 soc/core/clknet_leaf_225_core_clk (net) + 0.06 0.00 29.95 ^ soc/core/_35322_/CLK (sky130_fd_sc_hd__dfxtp_4) + -0.25 29.70 clock uncertainty + 0.46 30.16 clock reconvergence pessimism + -0.04 30.12 library setup time + 30.12 data required time +----------------------------------------------------------------------------- + 30.12 data required time + -21.69 data arrival time +----------------------------------------------------------------------------- + 8.44 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_35325_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.00 15.91 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.16 16.08 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.02 caravel_clk (net) + 0.10 0.00 16.08 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 16.21 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.03 0.00 16.21 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 16.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.41 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.03 0.00 16.41 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.51 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 16.51 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 16.60 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 16.60 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 16.75 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.12 0.03 16.78 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 16.90 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.02 0.00 16.90 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 17.04 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.07 0.00 17.04 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 17.16 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.03 0.00 17.17 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 17.26 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 17.26 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.13 17.40 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.07 0.01 17.40 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 17.55 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.05 0.00 17.55 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.10 17.65 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.02 0.00 17.65 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.33 0.32 17.97 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.25 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.06 18.02 v soc/core/clkbuf_leaf_22_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.27 v soc/core/clkbuf_leaf_22_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_22_core_clk (net) + 0.07 0.00 18.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.01 0.46 18.73 ^ soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[23] (sky130_sram_2kbyte_1rw1r_32x512_8) + 1 0.01 soc/core/sram_bus_dat_r[23] (net) + 0.01 0.00 18.74 ^ soc/core/repeater2402/A (sky130_fd_sc_hd__buf_2) + 0.17 0.16 18.89 ^ soc/core/repeater2402/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2402 (net) + 0.17 0.01 18.90 ^ soc/core/repeater2401/A (sky130_fd_sc_hd__buf_2) + 0.18 0.21 19.11 ^ soc/core/repeater2401/X (sky130_fd_sc_hd__buf_2) + 2 0.05 soc/core/net2401 (net) + 0.18 0.00 19.12 ^ soc/core/repeater2400/A (sky130_fd_sc_hd__buf_2) + 0.15 0.19 19.30 ^ soc/core/repeater2400/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2400 (net) + 0.15 0.00 19.31 ^ soc/core/repeater2399/A (sky130_fd_sc_hd__buf_2) + 0.15 0.18 19.49 ^ soc/core/repeater2399/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2399 (net) + 0.15 0.01 19.49 ^ soc/core/repeater2398/A (sky130_fd_sc_hd__buf_2) + 0.14 0.18 19.67 ^ soc/core/repeater2398/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2398 (net) + 0.14 0.00 19.67 ^ soc/core/repeater2397/A (sky130_fd_sc_hd__buf_2) + 0.15 0.18 19.85 ^ soc/core/repeater2397/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2397 (net) + 0.15 0.01 19.86 ^ soc/core/_18473_/B (sky130_fd_sc_hd__and2_1) + 0.05 0.11 19.97 ^ soc/core/_18473_/X (sky130_fd_sc_hd__and2_1) + 1 0.01 soc/core/_08257_ (net) + 0.05 0.00 19.97 ^ soc/core/_18474_/C1 (sky130_fd_sc_hd__a221o_2) + 0.10 0.12 20.09 ^ soc/core/_18474_/X (sky130_fd_sc_hd__a221o_2) + 2 0.02 soc/core/_08258_ (net) + 0.10 0.00 20.10 ^ soc/core/_18475_/C1 (sky130_fd_sc_hd__a221o_1) + 0.04 0.07 20.17 ^ soc/core/_18475_/X (sky130_fd_sc_hd__a221o_1) + 1 0.00 soc/core/_08259_ (net) + 0.04 0.00 20.17 ^ soc/core/hold964/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.08 0.43 20.60 ^ soc/core/hold964/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3813 (net) + 0.08 0.00 20.60 ^ soc/core/_18476_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.02 0.07 20.67 ^ soc/core/_18476_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.dBusWishbone_DAT_MISO[23] (net) + 0.02 0.00 20.67 ^ soc/core/hold961/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.09 0.43 21.11 ^ soc/core/hold961/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3811 (net) + 0.09 0.00 21.11 ^ soc/core/hold962/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.08 0.44 21.55 ^ soc/core/hold962/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3812 (net) + 0.08 0.00 21.55 ^ soc/core/hold963/A (sky130_fd_sc_hd__clkbuf_2) + 0.10 0.13 21.68 ^ soc/core/hold963/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/core/net3810 (net) + 0.10 0.00 21.68 ^ soc/core/_35325_/D (sky130_fd_sc_hd__dfxtp_4) + 21.68 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 28.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 28.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 28.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 28.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 28.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 28.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 28.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 28.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 28.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 28.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 28.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 28.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 28.80 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.91 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.04 0.00 28.91 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.99 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.03 0.00 28.99 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.12 29.11 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.10 0.01 29.12 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 29.25 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.08 0.00 29.25 ^ soc/core/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 29.34 ^ soc/core/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_28_0_core_clk (net) + 0.03 0.00 29.34 ^ soc/core/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.57 0.43 29.77 ^ soc/core/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.26 soc/core/clknet_5_28_1_core_clk (net) + 0.58 0.03 29.80 ^ soc/core/clkbuf_leaf_225_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.15 29.95 ^ soc/core/clkbuf_leaf_225_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 13 0.05 soc/core/clknet_leaf_225_core_clk (net) + 0.06 0.00 29.95 ^ soc/core/_35325_/CLK (sky130_fd_sc_hd__dfxtp_4) + -0.25 29.70 clock uncertainty + 0.46 30.16 clock reconvergence pessimism + -0.04 30.12 library setup time + 30.12 data required time +----------------------------------------------------------------------------- + 30.12 data required time + -21.68 data arrival time +----------------------------------------------------------------------------- + 8.44 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_35320_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.00 15.91 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.16 16.08 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.02 caravel_clk (net) + 0.10 0.00 16.08 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 16.21 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.03 0.00 16.21 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 16.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.41 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.03 0.00 16.41 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.51 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 16.51 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 16.60 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 16.60 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 16.75 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.12 0.03 16.78 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 16.90 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.02 0.00 16.90 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 17.04 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.07 0.00 17.04 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 17.16 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.03 0.00 17.17 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 17.26 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 17.26 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.13 17.40 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.07 0.01 17.40 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 17.55 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.05 0.00 17.55 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.10 17.65 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.02 0.00 17.65 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.33 0.32 17.97 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.25 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.06 18.02 v soc/core/clkbuf_leaf_22_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.27 v soc/core/clkbuf_leaf_22_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_22_core_clk (net) + 0.07 0.00 18.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.01 0.45 18.73 ^ soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[18] (sky130_sram_2kbyte_1rw1r_32x512_8) + 1 0.01 soc/core/sram_bus_dat_r[18] (net) + 0.01 0.00 18.73 ^ soc/core/repeater2439/A (sky130_fd_sc_hd__buf_2) + 0.19 0.18 18.91 ^ soc/core/repeater2439/X (sky130_fd_sc_hd__buf_2) + 2 0.05 soc/core/net2439 (net) + 0.19 0.00 18.91 ^ soc/core/repeater2438/A (sky130_fd_sc_hd__buf_2) + 0.19 0.22 19.13 ^ soc/core/repeater2438/X (sky130_fd_sc_hd__buf_2) + 2 0.05 soc/core/net2438 (net) + 0.19 0.00 19.14 ^ soc/core/repeater2437/A (sky130_fd_sc_hd__buf_2) + 0.16 0.19 19.33 ^ soc/core/repeater2437/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2437 (net) + 0.16 0.01 19.34 ^ soc/core/repeater2436/A (sky130_fd_sc_hd__buf_2) + 0.15 0.18 19.52 ^ soc/core/repeater2436/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2436 (net) + 0.15 0.01 19.53 ^ soc/core/repeater2435/A (sky130_fd_sc_hd__buf_2) + 0.13 0.17 19.70 ^ soc/core/repeater2435/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2435 (net) + 0.13 0.00 19.70 ^ soc/core/repeater2434/A (sky130_fd_sc_hd__buf_2) + 0.15 0.18 19.88 ^ soc/core/repeater2434/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2434 (net) + 0.15 0.01 19.89 ^ soc/core/_18519_/B (sky130_fd_sc_hd__and2_1) + 0.06 0.11 20.00 ^ soc/core/_18519_/X (sky130_fd_sc_hd__and2_1) + 1 0.01 soc/core/_08293_ (net) + 0.06 0.00 20.00 ^ soc/core/_18520_/C1 (sky130_fd_sc_hd__a221o_2) + 0.09 0.11 20.11 ^ soc/core/_18520_/X (sky130_fd_sc_hd__a221o_2) + 2 0.02 soc/core/_08294_ (net) + 0.09 0.00 20.11 ^ soc/core/_18521_/C1 (sky130_fd_sc_hd__a221o_1) + 0.04 0.07 20.18 ^ soc/core/_18521_/X (sky130_fd_sc_hd__a221o_1) + 1 0.00 soc/core/_08295_ (net) + 0.04 0.00 20.18 ^ soc/core/hold991/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.07 0.42 20.60 ^ soc/core/hold991/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3840 (net) + 0.07 0.00 20.60 ^ soc/core/_18522_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.03 0.07 20.67 ^ soc/core/_18522_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.dBusWishbone_DAT_MISO[18] (net) + 0.03 0.00 20.67 ^ soc/core/hold988/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.08 0.42 21.10 ^ soc/core/hold988/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3838 (net) + 0.08 0.00 21.10 ^ soc/core/hold989/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.09 0.44 21.54 ^ soc/core/hold989/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3839 (net) + 0.09 0.00 21.54 ^ soc/core/hold990/A (sky130_fd_sc_hd__buf_2) + 0.11 0.14 21.68 ^ soc/core/hold990/X (sky130_fd_sc_hd__buf_2) + 4 0.03 soc/core/net3837 (net) + 0.11 0.00 21.68 ^ soc/core/_35320_/D (sky130_fd_sc_hd__dfxtp_4) + 21.68 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 28.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 28.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 28.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 28.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 28.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 28.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 28.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 28.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 28.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 28.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 28.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 28.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 28.80 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.91 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.04 0.00 28.91 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.99 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.03 0.00 28.99 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.12 29.11 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.09 0.00 29.12 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 29.25 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.08 0.00 29.25 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 29.34 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.03 0.00 29.34 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.62 0.44 29.78 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.28 soc/core/clknet_5_25_1_core_clk (net) + 0.62 0.03 29.81 ^ soc/core/clkbuf_leaf_241_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.07 0.16 29.97 ^ soc/core/clkbuf_leaf_241_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.06 soc/core/clknet_leaf_241_core_clk (net) + 0.07 0.00 29.97 ^ soc/core/_35320_/CLK (sky130_fd_sc_hd__dfxtp_4) + -0.25 29.72 clock uncertainty + 0.46 30.18 clock reconvergence pessimism + -0.04 30.14 library setup time + 30.14 data required time +----------------------------------------------------------------------------- + 30.14 data required time + -21.68 data arrival time +----------------------------------------------------------------------------- + 8.46 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_35304_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.00 15.91 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.16 16.08 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.02 caravel_clk (net) + 0.10 0.00 16.08 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 16.21 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.03 0.00 16.21 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 16.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.41 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.03 0.00 16.41 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.51 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 16.51 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 16.60 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 16.60 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 16.75 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.12 0.03 16.78 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 16.90 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.02 0.00 16.90 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 17.04 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.07 0.00 17.04 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 17.16 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.03 0.00 17.17 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 17.26 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 17.26 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.13 17.40 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.07 0.01 17.40 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 17.55 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.05 0.00 17.55 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.10 17.65 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.02 0.00 17.65 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.33 0.32 17.97 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.25 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.06 18.02 v soc/core/clkbuf_leaf_22_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.27 v soc/core/clkbuf_leaf_22_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_22_core_clk (net) + 0.07 0.00 18.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.01 0.47 18.75 ^ soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[2] (sky130_sram_2kbyte_1rw1r_32x512_8) + 1 0.01 soc/core/sram_bus_dat_r[2] (net) + 0.01 0.00 18.75 ^ soc/core/repeater2363/A (sky130_fd_sc_hd__buf_2) + 0.17 0.17 18.92 ^ soc/core/repeater2363/X (sky130_fd_sc_hd__buf_2) + 2 0.05 soc/core/net2363 (net) + 0.17 0.00 18.92 ^ soc/core/repeater2362/A (sky130_fd_sc_hd__buf_2) + 0.13 0.17 19.09 ^ soc/core/repeater2362/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2362 (net) + 0.13 0.01 19.10 ^ soc/core/repeater2361/A (sky130_fd_sc_hd__buf_2) + 0.20 0.21 19.31 ^ soc/core/repeater2361/X (sky130_fd_sc_hd__buf_2) + 2 0.05 soc/core/net2361 (net) + 0.20 0.01 19.32 ^ soc/core/repeater2360/A (sky130_fd_sc_hd__buf_2) + 0.10 0.15 19.47 ^ soc/core/repeater2360/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2360 (net) + 0.10 0.00 19.48 ^ soc/core/repeater2359/A (sky130_fd_sc_hd__buf_2) + 0.11 0.15 19.63 ^ soc/core/repeater2359/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2359 (net) + 0.11 0.01 19.63 ^ soc/core/repeater2358/A (sky130_fd_sc_hd__buf_2) + 0.11 0.15 19.79 ^ soc/core/repeater2358/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2358 (net) + 0.11 0.00 19.79 ^ soc/core/repeater2357/A (sky130_fd_sc_hd__buf_2) + 0.12 0.16 19.95 ^ soc/core/repeater2357/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2357 (net) + 0.13 0.01 19.96 ^ soc/core/_18696_/B (sky130_fd_sc_hd__and2_1) + 0.05 0.10 20.06 ^ soc/core/_18696_/X (sky130_fd_sc_hd__and2_1) + 1 0.00 soc/core/_08438_ (net) + 0.05 0.00 20.06 ^ soc/core/_18697_/C1 (sky130_fd_sc_hd__a221o_1) + 0.08 0.10 20.17 ^ soc/core/_18697_/X (sky130_fd_sc_hd__a221o_1) + 1 0.01 soc/core/_08439_ (net) + 0.08 0.00 20.17 ^ soc/core/_18698_/C1 (sky130_fd_sc_hd__a221oi_4) + 0.14 0.03 20.20 v soc/core/_18698_/Y (sky130_fd_sc_hd__a221oi_4) + 1 0.02 soc/core/_08440_ (net) + 0.14 0.00 20.20 v soc/core/_18699_/C1 (sky130_fd_sc_hd__o2111ai_4) + 0.11 0.10 20.30 ^ soc/core/_18699_/Y (sky130_fd_sc_hd__o2111ai_4) + 1 0.00 soc/core/VexRiscv.dBusWishbone_DAT_MISO[2] (net) + 0.11 0.00 20.30 ^ soc/core/hold896/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.05 0.42 20.71 ^ soc/core/hold896/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.00 soc/core/net3746 (net) + 0.05 0.00 20.71 ^ soc/core/hold897/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.05 0.40 21.11 ^ soc/core/hold897/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.00 soc/core/net3747 (net) + 0.05 0.00 21.11 ^ soc/core/hold898/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.04 0.40 21.51 ^ soc/core/hold898/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.00 soc/core/net3748 (net) + 0.04 0.00 21.51 ^ soc/core/hold899/A (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.14 0.14 21.66 ^ soc/core/hold899/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 2 0.02 soc/core/net3745 (net) + 0.14 0.00 21.66 ^ soc/core/_35304_/D (sky130_fd_sc_hd__dfxtp_4) + 21.66 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 28.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 28.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 28.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 28.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 28.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 28.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 28.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 28.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 28.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 28.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 28.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 28.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 28.80 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.91 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.04 0.00 28.91 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.99 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.03 0.00 28.99 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.12 29.11 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.09 0.00 29.12 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 29.25 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.08 0.00 29.25 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 29.34 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.03 0.00 29.34 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.62 0.44 29.78 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.28 soc/core/clknet_5_25_1_core_clk (net) + 0.62 0.01 29.79 ^ soc/core/clkbuf_leaf_236_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.09 0.17 29.96 ^ soc/core/clkbuf_leaf_236_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 34 0.09 soc/core/clknet_leaf_236_core_clk (net) + 0.09 0.00 29.96 ^ soc/core/_35304_/CLK (sky130_fd_sc_hd__dfxtp_4) + -0.25 29.71 clock uncertainty + 0.46 30.17 clock reconvergence pessimism + -0.04 30.13 library setup time + 30.13 data required time +----------------------------------------------------------------------------- + 30.13 data required time + -21.66 data arrival time +----------------------------------------------------------------------------- + 8.47 slack (MET) + + +Startpoint: soc/core/sky130_sram_2kbyte_1rw1r_32x512_8 + (falling edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_35323_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock (fall edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.05 clock (net) + 0.00 1.91 14.41 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.06 0.93 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.06 0.00 15.34 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.02 0.00 15.45 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.52 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 15.67 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.13 0.24 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.13 0.00 15.91 v clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.16 16.08 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.02 caravel_clk (net) + 0.10 0.00 16.08 v soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 16.21 v soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.03 0.00 16.21 v soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.31 v soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.03 0.00 16.31 v soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.41 v soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.03 0.00 16.41 v soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 16.51 v soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.03 0.00 16.51 v soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.08 16.60 v soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.02 0.00 16.60 v soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.15 16.75 v soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.12 0.03 16.78 v soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 16.90 v soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.02 0.00 16.90 v soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.14 17.04 v soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.07 0.00 17.04 v soc/core/clkbuf_3_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 17.16 v soc/core/clkbuf_3_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_0_core_clk (net) + 0.03 0.00 17.17 v soc/core/clkbuf_3_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 17.26 v soc/core/clkbuf_3_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_2_1_core_clk (net) + 0.03 0.00 17.26 v soc/core/clkbuf_3_2_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.13 17.40 v soc/core/clkbuf_3_2_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_2_2_core_clk (net) + 0.07 0.01 17.40 v soc/core/clkbuf_4_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 17.55 v soc/core/clkbuf_4_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_4_0_core_clk (net) + 0.05 0.00 17.55 v soc/core/clkbuf_5_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.10 17.65 v soc/core/clkbuf_5_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_8_0_core_clk (net) + 0.02 0.00 17.65 v soc/core/clkbuf_5_8_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.33 0.32 17.97 v soc/core/clkbuf_5_8_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.25 soc/core/clknet_5_8_1_core_clk (net) + 0.35 0.06 18.02 v soc/core/clkbuf_leaf_22_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.25 18.27 v soc/core/clkbuf_leaf_22_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_22_core_clk (net) + 0.07 0.00 18.27 v soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8) + 0.01 0.47 18.74 ^ soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout0[21] (sky130_sram_2kbyte_1rw1r_32x512_8) + 1 0.01 soc/core/sram_bus_dat_r[21] (net) + 0.01 0.00 18.75 ^ soc/core/repeater2414/A (sky130_fd_sc_hd__buf_2) + 0.18 0.18 18.92 ^ soc/core/repeater2414/X (sky130_fd_sc_hd__buf_2) + 2 0.05 soc/core/net2414 (net) + 0.18 0.00 18.93 ^ soc/core/repeater2413/A (sky130_fd_sc_hd__buf_2) + 0.19 0.21 19.14 ^ soc/core/repeater2413/X (sky130_fd_sc_hd__buf_2) + 2 0.05 soc/core/net2413 (net) + 0.19 0.01 19.15 ^ soc/core/repeater2412/A (sky130_fd_sc_hd__buf_2) + 0.15 0.19 19.34 ^ soc/core/repeater2412/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2412 (net) + 0.15 0.01 19.34 ^ soc/core/repeater2411/A (sky130_fd_sc_hd__buf_2) + 0.13 0.17 19.51 ^ soc/core/repeater2411/X (sky130_fd_sc_hd__buf_2) + 2 0.03 soc/core/net2411 (net) + 0.13 0.01 19.52 ^ soc/core/repeater2410/A (sky130_fd_sc_hd__buf_2) + 0.14 0.18 19.69 ^ soc/core/repeater2410/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2410 (net) + 0.14 0.01 19.70 ^ soc/core/repeater2409/A (sky130_fd_sc_hd__buf_2) + 0.15 0.18 19.89 ^ soc/core/repeater2409/X (sky130_fd_sc_hd__buf_2) + 2 0.04 soc/core/net2409 (net) + 0.15 0.00 19.89 ^ soc/core/_18491_/B2 (sky130_fd_sc_hd__a221o_2) + 0.11 0.17 20.06 ^ soc/core/_18491_/X (sky130_fd_sc_hd__a221o_2) + 2 0.03 soc/core/_08271_ (net) + 0.11 0.00 20.06 ^ soc/core/_18492_/C1 (sky130_fd_sc_hd__a221o_1) + 0.04 0.07 20.13 ^ soc/core/_18492_/X (sky130_fd_sc_hd__a221o_1) + 1 0.00 soc/core/_08272_ (net) + 0.04 0.00 20.13 ^ soc/core/hold909/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.42 20.55 ^ soc/core/hold909/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3758 (net) + 0.06 0.00 20.55 ^ soc/core/_18493_/C1 (sky130_fd_sc_hd__a2111o_4) + 0.02 0.07 20.62 ^ soc/core/_18493_/X (sky130_fd_sc_hd__a2111o_4) + 1 0.00 soc/core/VexRiscv.dBusWishbone_DAT_MISO[21] (net) + 0.02 0.00 20.62 ^ soc/core/hold906/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.08 0.43 21.05 ^ soc/core/hold906/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3756 (net) + 0.08 0.00 21.05 ^ soc/core/hold907/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.10 0.45 21.50 ^ soc/core/hold907/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 soc/core/net3757 (net) + 0.10 0.00 21.50 ^ soc/core/hold908/A (sky130_fd_sc_hd__clkbuf_2) + 0.11 0.15 21.65 ^ soc/core/hold908/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.03 soc/core/net3755 (net) + 0.11 0.00 21.65 ^ soc/core/_35323_/D (sky130_fd_sc_hd__dfxtp_4) + 21.65 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.05 clock (net) + 0.00 1.73 26.73 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.05 clock (net) + 0.05 0.61 27.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.05 0.00 27.33 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.02 0.08 27.41 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.02 0.00 27.41 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.05 27.46 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 27.47 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.07 27.54 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.04 0.00 27.54 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.21 0.19 27.72 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.21 0.00 27.73 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.24 0.19 27.92 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.24 0.00 27.92 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.12 28.04 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.04 0.00 28.04 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 28.12 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.03 0.00 28.13 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.21 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.03 0.00 28.21 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.08 28.29 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.04 0.00 28.29 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.09 28.38 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.04 0.00 28.38 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.16 28.53 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.15 0.01 28.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.66 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.04 0.00 28.66 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.14 28.80 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.12 0.00 28.80 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.11 28.91 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.04 0.00 28.91 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.08 28.99 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.03 0.00 28.99 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.12 29.11 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.09 0.00 29.12 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.13 29.25 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.08 0.00 29.25 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.09 29.34 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.03 0.00 29.34 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.62 0.44 29.78 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.28 soc/core/clknet_5_25_1_core_clk (net) + 0.62 0.03 29.81 ^ soc/core/clkbuf_leaf_240_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.07 0.15 29.96 ^ soc/core/clkbuf_leaf_240_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 18 0.05 soc/core/clknet_leaf_240_core_clk (net) + 0.07 0.00 29.96 ^ soc/core/_35323_/CLK (sky130_fd_sc_hd__dfxtp_4) + -0.25 29.71 clock uncertainty + 0.46 30.18 clock reconvergence pessimism + -0.04 30.14 library setup time + 30.14 data required time +----------------------------------------------------------------------------- + 30.14 data required time + -21.65 data arrival time +----------------------------------------------------------------------------- + 8.49 slack (MET) + + +worst slack 4.68 +worst slack 0.00 + Management Area Interface +No paths found. + User project Interface +Startpoint: clock (clock source 'clock') +Endpoint: mprj/wb_clk_i (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.50 v clock (in) + 2.84 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 0.25 15.91 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 0.17 16.08 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 16.20 v mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 16.27 ^ mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2) + 0.02 16.29 v mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 16.29 v mprj/wb_clk_i (user_project_wrapper) + 16.29 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: mprj/wb_rst_i (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 15.98 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.31 16.29 ^ clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 0.03 16.32 v clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 0.10 16.41 v clocking/output11/X (sky130_fd_sc_hd__buf_2) + 0.11 16.52 v mgmt_buffers/input3/X (sky130_fd_sc_hd__clkbuf_2) + 0.05 16.57 ^ mgmt_buffers/mprj_rstn_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 16.57 ^ mprj/wb_rst_i (user_project_wrapper) + 16.57 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_cyc_i (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.82 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.29 6.11 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.15 6.26 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.12 6.37 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.17 6.54 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 0.98 7.52 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.31 7.83 v soc/core/_32606_/X (sky130_fd_sc_hd__mux2_8) + 0.48 8.31 ^ soc/core/_17309_/X (sky130_fd_sc_hd__and4b_4) + 0.08 8.39 v soc/core/_24195_/Y (sky130_fd_sc_hd__nand2_4) + 0.42 8.81 ^ soc/core/_32286_/Y (sky130_fd_sc_hd__nor3b_4) + 0.15 8.96 ^ soc/core/output711/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 9.10 ^ mgmt_buffers/input549/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.08 9.18 v mgmt_buffers/_393_/Y (sky130_fd_sc_hd__inv_6) + 0.07 9.25 ^ mgmt_buffers/mprj_cyc_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.25 ^ mprj/wbs_cyc_i (user_project_wrapper) + 9.25 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_stb_i (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.82 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.29 6.11 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.15 6.26 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.12 6.37 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.17 6.54 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 0.98 7.52 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.55 8.08 ^ soc/core/_33564_/X (sky130_fd_sc_hd__mux2_8) + 0.25 8.32 v soc/core/_19656_/Y (sky130_fd_sc_hd__clkinv_16) + 0.27 8.59 v soc/core/_32562_/X (sky130_fd_sc_hd__clkbuf_4) + 0.11 8.70 v soc/core/output748/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 8.83 v mgmt_buffers/input619/X (sky130_fd_sc_hd__buf_4) + 0.10 8.93 ^ mgmt_buffers/_394_/Y (sky130_fd_sc_hd__inv_2) + 0.02 8.95 v mgmt_buffers/mprj_stb_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.95 v mprj/wbs_stb_i (user_project_wrapper) + 8.95 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_we_i (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.82 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.29 6.11 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.15 6.26 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.12 6.37 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.17 6.54 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 0.98 7.52 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.58 8.11 ^ soc/core/_32603_/X (sky130_fd_sc_hd__mux2_8) + 0.16 8.27 ^ soc/core/output750/X (sky130_fd_sc_hd__clkbuf_4) + 0.12 8.38 ^ mgmt_buffers/input620/X (sky130_fd_sc_hd__clkbuf_2) + 0.10 8.49 v mgmt_buffers/_395_/Y (sky130_fd_sc_hd__clkinv_2) + 0.06 8.54 ^ mgmt_buffers/mprj_we_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.54 ^ mprj/wbs_we_i (user_project_wrapper) + 8.54 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_sel_i[3] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.82 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.29 6.11 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.06 6.17 v soc/core/_21986_/Y (sky130_fd_sc_hd__inv_2) + 0.08 6.25 ^ soc/core/_30484_/Y (sky130_fd_sc_hd__o2111ai_1) + 0.07 6.31 ^ soc/core/repeater2299/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 6.37 ^ soc/core/repeater2298/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 6.45 ^ soc/core/repeater2297/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 6.51 ^ soc/core/repeater2296/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 6.56 ^ soc/core/repeater2295/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 6.62 ^ soc/core/repeater2294/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 6.67 ^ soc/core/repeater2293/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 6.73 ^ soc/core/repeater2292/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 6.78 ^ soc/core/repeater2291/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 6.83 ^ soc/core/repeater2290/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 6.91 ^ soc/core/repeater2289/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 6.99 ^ soc/core/repeater2288/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 7.05 ^ soc/core/repeater2177/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 7.12 ^ soc/core/repeater2176/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 7.18 ^ soc/core/repeater2175/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 7.26 ^ soc/core/repeater2174/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 7.32 ^ soc/core/repeater2173/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 7.38 ^ soc/core/repeater2172/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 7.45 ^ soc/core/repeater2171/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 7.51 ^ soc/core/repeater2170/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 7.58 ^ soc/core/repeater2169/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 7.65 ^ soc/core/repeater2168/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 7.71 ^ soc/core/repeater2167/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 7.78 ^ soc/core/repeater2166/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 7.85 ^ soc/core/repeater2165/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 7.92 ^ soc/core/repeater2164/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 7.98 ^ soc/core/repeater2163/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.05 ^ soc/core/repeater2162/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 8.13 ^ soc/core/repeater2161/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 8.19 ^ soc/core/repeater2160/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 8.26 ^ soc/core/repeater2159/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 8.33 ^ soc/core/repeater2158/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 8.40 ^ soc/core/repeater2157/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.46 ^ soc/core/repeater2156/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.53 ^ soc/core/repeater2155/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.59 ^ soc/core/repeater2154/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 8.66 ^ soc/core/repeater2153/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 8.73 ^ soc/core/repeater2152/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 8.80 ^ soc/core/repeater2151/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.86 ^ soc/core/repeater2150/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 8.93 ^ soc/core/repeater2149/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 9.00 ^ soc/core/repeater2148/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 9.07 ^ soc/core/repeater2147/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 9.13 ^ soc/core/repeater2146/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 9.22 ^ soc/core/repeater2145/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 9.29 ^ soc/core/repeater2144/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 9.35 ^ soc/core/repeater2143/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 9.42 ^ soc/core/repeater2142/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 9.49 ^ soc/core/repeater2141/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 9.55 ^ soc/core/repeater2140/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 9.62 ^ soc/core/repeater2139/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 9.68 ^ soc/core/repeater2138/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 9.74 ^ soc/core/repeater2137/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 9.81 ^ soc/core/repeater2136/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 9.87 ^ soc/core/repeater2135/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 9.94 ^ soc/core/repeater2134/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 10.01 ^ soc/core/repeater2133/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 10.07 ^ soc/core/repeater2132/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 10.14 ^ soc/core/repeater2131/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 10.20 ^ soc/core/repeater2130/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 10.26 ^ soc/core/repeater2129/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 10.33 ^ soc/core/repeater2128/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 10.40 ^ soc/core/repeater2127/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 10.46 ^ soc/core/repeater2126/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 10.52 ^ soc/core/repeater2125/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 10.59 ^ soc/core/repeater2124/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 10.66 ^ soc/core/repeater2123/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 10.72 ^ soc/core/repeater2122/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 10.78 ^ soc/core/repeater2121/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 10.86 ^ soc/core/output744/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 11.02 ^ mgmt_buffers/input615/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.10 11.11 v mgmt_buffers/_396_/Y (sky130_fd_sc_hd__clkinv_2) + 0.05 11.16 ^ mgmt_buffers/mprj_sel_buf[0]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 11.16 ^ mprj/wbs_sel_i[3] (user_project_wrapper) + 11.16 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_sel_i[2] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.82 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.29 6.11 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.14 6.25 ^ soc/core/_17329_/Y (sky130_fd_sc_hd__nand3b_4) + 0.08 6.33 ^ soc/core/_30697_/Y (sky130_fd_sc_hd__o21bai_1) + 0.07 6.40 ^ soc/core/repeater2120/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 6.46 ^ soc/core/repeater2119/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 6.51 ^ soc/core/repeater2118/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 6.57 ^ soc/core/repeater2117/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 6.63 ^ soc/core/repeater2116/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 6.69 ^ soc/core/repeater2115/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 6.74 ^ soc/core/repeater2114/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 6.80 ^ soc/core/repeater2113/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 6.85 ^ soc/core/repeater2112/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 6.91 ^ soc/core/repeater2111/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 6.98 ^ soc/core/repeater2110/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 7.05 ^ soc/core/repeater2022/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 7.12 ^ soc/core/repeater2021/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 7.19 ^ soc/core/repeater2020/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 7.27 ^ soc/core/repeater2019/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 7.33 ^ soc/core/repeater2018/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 7.41 ^ soc/core/repeater2017/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 7.48 ^ soc/core/repeater2016/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 7.55 ^ soc/core/repeater2015/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 7.62 ^ soc/core/repeater2014/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 7.69 ^ soc/core/repeater2013/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 7.77 ^ soc/core/repeater2012/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 7.85 ^ soc/core/repeater2011/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 7.92 ^ soc/core/repeater2010/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 8.00 ^ soc/core/repeater2009/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 8.07 ^ soc/core/repeater2008/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 8.14 ^ soc/core/repeater2007/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 8.21 ^ soc/core/repeater2006/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 8.29 ^ soc/core/repeater2005/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 8.36 ^ soc/core/repeater2004/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 8.43 ^ soc/core/repeater2003/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 8.51 ^ soc/core/repeater2002/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 8.58 ^ soc/core/repeater2001/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 8.65 ^ soc/core/repeater2000/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 8.73 ^ soc/core/repeater1999/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 8.80 ^ soc/core/repeater1998/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 8.87 ^ soc/core/repeater1997/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 8.94 ^ soc/core/repeater1996/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 9.01 ^ soc/core/repeater1995/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 9.08 ^ soc/core/repeater1994/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 9.15 ^ soc/core/repeater1993/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 9.22 ^ soc/core/repeater1992/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 9.30 ^ soc/core/repeater1991/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 9.37 ^ soc/core/repeater1990/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 9.44 ^ soc/core/repeater1989/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 9.52 ^ soc/core/repeater1988/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 9.59 ^ soc/core/repeater1987/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 9.66 ^ soc/core/repeater1986/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 9.73 ^ soc/core/repeater1985/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 9.80 ^ soc/core/repeater1984/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 9.87 ^ soc/core/repeater1983/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 9.94 ^ soc/core/repeater1982/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 10.01 ^ soc/core/repeater1981/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 10.07 ^ soc/core/repeater1980/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 10.13 ^ soc/core/repeater1979/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 10.22 ^ soc/core/output745/X (sky130_fd_sc_hd__clkbuf_4) + 0.11 10.33 ^ mgmt_buffers/input616/X (sky130_fd_sc_hd__clkbuf_2) + 0.05 10.38 v mgmt_buffers/_397_/Y (sky130_fd_sc_hd__inv_2) + 0.04 10.42 ^ mgmt_buffers/mprj_sel_buf[1]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 10.42 ^ mprj/wbs_sel_i[2] (user_project_wrapper) + 10.42 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_37442_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_sel_i[0] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.82 ^ soc/core/_37442_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.29 6.11 ^ soc/core/_37442_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.27 6.38 v soc/core/_33546_/X (sky130_fd_sc_hd__mux2_2) + 0.16 6.54 v soc/core/_30699_/X (sky130_fd_sc_hd__and4_1) + 0.03 6.57 ^ soc/core/_30700_/Y (sky130_fd_sc_hd__inv_2) + 0.05 6.62 ^ soc/core/repeater1977/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 6.70 ^ soc/core/repeater1976/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 6.79 ^ soc/core/repeater1975/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 6.85 ^ soc/core/repeater1974/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 6.93 ^ soc/core/repeater1973/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 6.99 ^ soc/core/repeater1972/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 7.04 ^ soc/core/repeater1971/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 7.10 ^ soc/core/repeater1970/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 7.18 ^ soc/core/repeater1969/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 7.26 ^ soc/core/repeater1968/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 7.33 ^ soc/core/repeater1967/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 7.40 ^ soc/core/repeater1966/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 7.48 ^ soc/core/repeater1965/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 7.54 ^ soc/core/repeater1964/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 7.60 ^ soc/core/repeater1963/X (sky130_fd_sc_hd__clkbuf_1) + 0.15 7.75 ^ soc/core/repeater1962/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.15 7.90 ^ soc/core/repeater1960/X (sky130_fd_sc_hd__clkbuf_2) + 0.15 8.05 ^ soc/core/repeater1959/X (sky130_fd_sc_hd__clkbuf_2) + 0.16 8.21 ^ soc/core/repeater1958/X (sky130_fd_sc_hd__clkbuf_2) + 0.16 8.36 ^ soc/core/repeater1957/X (sky130_fd_sc_hd__clkbuf_2) + 0.16 8.53 ^ soc/core/repeater1956/X (sky130_fd_sc_hd__clkbuf_2) + 0.12 8.64 ^ soc/core/output747/X (sky130_fd_sc_hd__clkbuf_4) + 0.11 8.76 ^ mgmt_buffers/input618/X (sky130_fd_sc_hd__clkbuf_2) + 0.09 8.84 v mgmt_buffers/_399_/Y (sky130_fd_sc_hd__clkinv_2) + 0.05 8.89 ^ mgmt_buffers/mprj_sel_buf[3]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.89 ^ mprj/wbs_sel_i[0] (user_project_wrapper) + 8.89 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_37505_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_sel_i[1] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.82 ^ soc/core/_37505_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 6.08 ^ soc/core/_37505_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.04 6.12 v soc/core/_30695_/Y (sky130_fd_sc_hd__nand2_1) + 0.19 6.31 v soc/core/_33547_/X (sky130_fd_sc_hd__mux2_1) + 0.20 6.51 v soc/core/_33548_/X (sky130_fd_sc_hd__mux2_2) + 0.16 6.66 v soc/core/_30691_/X (sky130_fd_sc_hd__and4_1) + 0.04 6.70 ^ soc/core/_30692_/Y (sky130_fd_sc_hd__inv_2) + 0.06 6.76 ^ soc/core/repeater867/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 6.82 ^ soc/core/repeater866/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 6.88 ^ soc/core/repeater865/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 6.93 ^ soc/core/repeater864/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 6.99 ^ soc/core/repeater863/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 7.06 ^ soc/core/repeater862/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 7.12 ^ soc/core/repeater861/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 7.19 ^ soc/core/repeater860/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 7.26 ^ soc/core/repeater859/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 7.32 ^ soc/core/repeater858/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 7.37 ^ soc/core/repeater857/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 7.44 ^ soc/core/repeater856/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 7.50 ^ soc/core/repeater855/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 7.62 ^ soc/core/repeater854/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.15 7.77 ^ soc/core/repeater852/X (sky130_fd_sc_hd__clkbuf_2) + 0.16 7.94 ^ soc/core/repeater851/X (sky130_fd_sc_hd__clkbuf_2) + 0.16 8.10 ^ soc/core/repeater850/X (sky130_fd_sc_hd__clkbuf_2) + 0.16 8.26 ^ soc/core/repeater849/X (sky130_fd_sc_hd__clkbuf_2) + 0.15 8.41 ^ soc/core/repeater848/X (sky130_fd_sc_hd__clkbuf_2) + 0.11 8.52 ^ soc/core/output746/X (sky130_fd_sc_hd__clkbuf_4) + 0.12 8.63 ^ mgmt_buffers/input617/X (sky130_fd_sc_hd__clkbuf_2) + 0.09 8.72 v mgmt_buffers/_398_/Y (sky130_fd_sc_hd__clkinv_2) + 0.05 8.77 ^ mgmt_buffers/mprj_sel_buf[2]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.77 ^ mprj/wbs_sel_i[1] (user_project_wrapper) + 8.77 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[21] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.82 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.29 6.11 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.15 6.26 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.12 6.37 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.17 6.54 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 0.98 7.52 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.20 7.72 ^ soc/core/_32604_/X (sky130_fd_sc_hd__mux2_1) + 0.07 7.79 ^ soc/core/repeater1945/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 7.88 ^ soc/core/repeater1944/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 7.96 ^ soc/core/repeater1943/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 8.04 ^ soc/core/repeater1942/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 8.12 ^ soc/core/repeater1941/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 8.20 ^ soc/core/repeater1940/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 8.28 ^ soc/core/repeater1939/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 8.37 ^ soc/core/repeater1938/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.42 ^ soc/core/repeater1937/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 8.48 ^ soc/core/repeater1936/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 8.53 ^ soc/core/repeater1935/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.59 ^ soc/core/repeater1934/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.64 ^ soc/core/repeater1933/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.70 ^ soc/core/repeater1932/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.76 ^ soc/core/repeater1931/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 8.81 ^ soc/core/repeater1930/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 8.86 ^ soc/core/repeater1929/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 8.91 ^ soc/core/repeater1928/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 8.97 ^ soc/core/repeater1927/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 9.02 ^ soc/core/repeater1926/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 9.07 ^ soc/core/repeater1925/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 9.12 ^ soc/core/repeater1924/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 9.18 ^ soc/core/repeater1923/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 9.23 ^ soc/core/repeater1922/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 9.28 ^ soc/core/repeater1921/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 9.33 ^ soc/core/repeater1920/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 9.38 ^ soc/core/repeater1919/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 9.44 ^ soc/core/repeater1918/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 9.50 ^ soc/core/repeater1917/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 9.55 ^ soc/core/repeater1916/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 9.61 ^ soc/core/repeater1915/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 9.67 ^ soc/core/repeater1914/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 9.73 ^ soc/core/repeater1913/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 9.80 ^ soc/core/repeater1912/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 9.87 ^ soc/core/repeater1911/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 9.93 ^ soc/core/repeater1910/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 9.99 ^ soc/core/repeater1909/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 10.04 ^ soc/core/repeater1908/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 10.09 ^ soc/core/repeater1907/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 10.18 ^ soc/core/repeater1906/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 10.25 ^ soc/core/repeater1905/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 10.30 ^ soc/core/repeater1904/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 10.37 ^ soc/core/repeater1903/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 10.43 ^ soc/core/repeater1902/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 10.49 ^ soc/core/repeater1901/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 10.55 ^ soc/core/repeater1900/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 10.60 ^ soc/core/repeater1899/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 10.66 ^ soc/core/repeater1898/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 10.72 ^ soc/core/repeater1897/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 10.78 ^ soc/core/repeater1896/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 10.83 ^ soc/core/repeater1895/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 10.90 ^ soc/core/repeater1894/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 10.96 ^ soc/core/repeater1893/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 11.05 ^ soc/core/repeater1892/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 11.13 ^ soc/core/repeater1891/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 11.23 ^ soc/core/repeater1841/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 11.34 ^ soc/core/repeater1840/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 11.44 ^ soc/core/repeater1839/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 11.55 ^ soc/core/repeater1838/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 11.65 ^ soc/core/repeater1837/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 11.76 ^ soc/core/repeater1836/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 11.88 ^ soc/core/repeater1835/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 12.00 ^ soc/core/repeater1834/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 12.10 ^ soc/core/repeater1833/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 12.20 ^ soc/core/output681/X (sky130_fd_sc_hd__clkbuf_4) + 0.18 12.38 ^ mgmt_buffers/input518/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.10 12.48 v mgmt_buffers/_410_/Y (sky130_fd_sc_hd__inv_2) + 0.06 12.54 ^ mgmt_buffers/mprj_adr_buf[10]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 12.54 ^ mprj/wbs_adr_i[21] (user_project_wrapper) + 12.54 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[27] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.82 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.29 6.11 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.15 6.26 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.12 6.37 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.17 6.54 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 0.98 7.52 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.45 7.97 v soc/core/_33554_/X (sky130_fd_sc_hd__mux2_8) + 0.10 8.07 ^ soc/core/_17321_/Y (sky130_fd_sc_hd__inv_2) + 0.07 8.14 ^ soc/core/repeater1831/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.20 ^ soc/core/repeater1830/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.27 ^ soc/core/repeater1829/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.33 ^ soc/core/repeater1828/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.39 ^ soc/core/repeater1827/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.46 ^ soc/core/repeater1826/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 8.52 ^ soc/core/repeater1825/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.59 ^ soc/core/repeater1824/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.65 ^ soc/core/repeater1823/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 8.73 ^ soc/core/repeater1822/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 8.80 ^ soc/core/repeater1820/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.86 ^ soc/core/repeater1819/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.92 ^ soc/core/repeater1818/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.98 ^ soc/core/repeater1817/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 9.04 ^ soc/core/repeater1816/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 9.11 ^ soc/core/repeater1815/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 9.17 ^ soc/core/repeater1814/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 9.22 ^ soc/core/repeater1813/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 9.28 ^ soc/core/repeater1812/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 9.35 ^ soc/core/repeater1811/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 9.41 ^ soc/core/repeater1810/X (sky130_fd_sc_hd__clkbuf_1) + 0.14 9.55 ^ soc/core/repeater1809/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.13 9.68 ^ soc/core/repeater1808/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 9.83 ^ soc/core/repeater1807/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.16 9.99 ^ soc/core/repeater1806/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.15 10.14 ^ soc/core/repeater1805/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.18 10.32 ^ soc/core/repeater1804/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.16 10.48 ^ soc/core/repeater1803/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.07 10.54 ^ soc/core/repeater1802/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 10.60 ^ soc/core/repeater1801/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 10.66 ^ soc/core/repeater1800/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 10.72 ^ soc/core/repeater1799/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 10.78 ^ soc/core/repeater1798/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 10.85 ^ soc/core/repeater1797/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 10.90 ^ soc/core/repeater1796/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 10.96 ^ soc/core/repeater1795/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 11.02 ^ soc/core/repeater1794/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 11.07 ^ soc/core/repeater1793/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 11.13 ^ soc/core/repeater1792/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 11.19 ^ soc/core/repeater1791/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 11.25 ^ soc/core/repeater1790/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 11.31 ^ soc/core/repeater1789/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 11.36 ^ soc/core/repeater1788/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 11.42 ^ soc/core/repeater1787/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 11.51 ^ soc/core/_32524_/X (sky130_fd_sc_hd__clkbuf_2) + 0.11 11.62 ^ soc/core/output705/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 11.79 ^ mgmt_buffers/input543/X (sky130_fd_sc_hd__buf_12) + 0.12 11.90 v mgmt_buffers/_404_/Y (sky130_fd_sc_hd__inv_2) + 0.06 11.96 ^ mgmt_buffers/mprj_adr_buf[4]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 11.96 ^ mprj/wbs_adr_i[27] (user_project_wrapper) + 11.96 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[22] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.82 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.29 6.11 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.15 6.26 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.12 6.37 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.17 6.54 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 0.98 7.52 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.36 7.88 v soc/core/_33549_/X (sky130_fd_sc_hd__mux2_4) + 0.06 7.93 ^ soc/core/_17681_/Y (sky130_fd_sc_hd__inv_2) + 0.09 8.02 ^ soc/core/repeater1355/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.08 ^ soc/core/repeater1354/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 8.16 ^ soc/core/repeater1353/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 8.24 ^ soc/core/repeater1352/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.30 ^ soc/core/repeater1351/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 8.35 ^ soc/core/repeater1350/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 8.42 ^ soc/core/repeater1349/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.48 ^ soc/core/repeater1348/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 8.57 ^ soc/core/repeater1347/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 8.63 ^ soc/core/repeater1346/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.69 ^ soc/core/repeater1345/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.75 ^ soc/core/repeater1344/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.80 ^ soc/core/repeater1343/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.86 ^ soc/core/repeater1342/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 8.94 ^ soc/core/repeater1341/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 9.03 ^ soc/core/repeater1340/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 9.10 ^ soc/core/repeater1339/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 9.16 ^ soc/core/repeater1338/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 9.21 ^ soc/core/repeater1337/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 9.29 ^ soc/core/repeater1336/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 9.35 ^ soc/core/repeater1335/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 9.41 ^ soc/core/repeater1334/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 9.46 ^ soc/core/repeater1333/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 9.52 ^ soc/core/repeater1332/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 9.60 ^ soc/core/repeater1331/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 9.68 ^ soc/core/repeater1330/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 9.75 ^ soc/core/repeater1329/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 9.83 ^ soc/core/repeater1328/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 9.91 ^ soc/core/repeater1327/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 9.98 ^ soc/core/repeater1326/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 10.04 ^ soc/core/repeater1325/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 10.11 ^ soc/core/repeater1324/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 10.17 ^ soc/core/repeater1323/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 10.23 ^ soc/core/repeater1322/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 10.29 ^ soc/core/repeater1321/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 10.38 ^ soc/core/repeater1320/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 10.46 ^ soc/core/repeater1319/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 10.57 ^ soc/core/repeater1318/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 10.68 ^ soc/core/repeater1317/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 10.79 ^ soc/core/repeater1316/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 10.91 ^ soc/core/repeater1315/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 11.01 ^ soc/core/repeater1314/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 11.08 ^ soc/core/repeater1313/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 11.19 ^ soc/core/repeater1312/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 11.30 ^ soc/core/repeater1311/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 11.41 ^ soc/core/repeater1310/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 11.53 ^ soc/core/repeater1309/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 11.60 ^ soc/core/_32529_/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 11.68 ^ soc/core/output710/X (sky130_fd_sc_hd__clkbuf_4) + 0.12 11.80 ^ mgmt_buffers/input548/X (sky130_fd_sc_hd__clkbuf_2) + 0.08 11.88 v mgmt_buffers/_409_/Y (sky130_fd_sc_hd__inv_8) + 0.08 11.96 ^ mgmt_buffers/mprj_adr_buf[9]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 11.96 ^ mprj/wbs_adr_i[22] (user_project_wrapper) + 11.96 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[23] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.82 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.29 6.11 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.15 6.26 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.12 6.37 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.17 6.54 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 0.98 7.52 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.36 7.88 v soc/core/_33550_/X (sky130_fd_sc_hd__mux2_4) + 0.06 7.94 ^ soc/core/_17682_/Y (sky130_fd_sc_hd__inv_2) + 0.09 8.04 ^ soc/core/repeater1242/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 8.14 ^ soc/core/repeater1241/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 8.23 ^ soc/core/repeater1240/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 8.32 ^ soc/core/repeater1239/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.38 ^ soc/core/repeater1238/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 8.45 ^ soc/core/repeater1237/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 8.54 ^ soc/core/repeater1236/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 8.61 ^ soc/core/repeater1235/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 8.67 ^ soc/core/repeater1234/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 8.74 ^ soc/core/repeater1233/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.80 ^ soc/core/repeater1232/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.86 ^ soc/core/repeater1231/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 8.93 ^ soc/core/repeater1230/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.99 ^ soc/core/repeater1229/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 9.04 ^ soc/core/repeater1228/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 9.13 ^ soc/core/repeater1227/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 9.21 ^ soc/core/repeater1226/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 9.30 ^ soc/core/repeater1225/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 9.36 ^ soc/core/repeater1224/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 9.42 ^ soc/core/repeater1223/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 9.48 ^ soc/core/repeater1222/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 9.55 ^ soc/core/repeater1221/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 9.61 ^ soc/core/repeater1220/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 9.67 ^ soc/core/repeater1219/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 9.73 ^ soc/core/repeater1218/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 9.79 ^ soc/core/repeater1217/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 9.85 ^ soc/core/repeater1216/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 9.91 ^ soc/core/repeater1215/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 9.97 ^ soc/core/repeater1214/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 10.09 ^ soc/core/repeater1213/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 10.20 ^ soc/core/repeater1211/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 10.31 ^ soc/core/repeater1210/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 10.42 ^ soc/core/repeater1209/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 10.53 ^ soc/core/repeater1208/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 10.65 ^ soc/core/repeater1207/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 10.72 ^ soc/core/repeater1206/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 10.80 ^ soc/core/repeater1205/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 10.89 ^ soc/core/repeater1204/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 10.97 ^ soc/core/repeater1203/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 11.04 ^ soc/core/repeater1202/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 11.13 ^ soc/core/repeater1201/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 11.21 ^ soc/core/repeater1200/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 11.31 ^ soc/core/repeater1199/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 11.37 ^ soc/core/_32528_/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 11.46 ^ soc/core/output709/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 11.60 ^ mgmt_buffers/input547/X (sky130_fd_sc_hd__clkbuf_4) + 0.10 11.70 v mgmt_buffers/_408_/Y (sky130_fd_sc_hd__clkinv_16) + 0.15 11.86 ^ mgmt_buffers/mprj_adr_buf[8]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 11.86 ^ mprj/wbs_adr_i[23] (user_project_wrapper) + 11.86 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[24] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.82 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.29 6.11 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.15 6.26 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.12 6.37 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.17 6.54 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 0.98 7.52 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.44 7.97 v soc/core/_33551_/X (sky130_fd_sc_hd__mux2_8) + 0.07 8.04 ^ soc/core/_19668_/Y (sky130_fd_sc_hd__inv_2) + 0.06 8.10 ^ soc/core/repeater1023/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 8.17 ^ soc/core/repeater1022/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 8.24 ^ soc/core/repeater1021/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.30 ^ soc/core/repeater1020/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.36 ^ soc/core/repeater1019/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.42 ^ soc/core/repeater1018/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.48 ^ soc/core/repeater1017/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.55 ^ soc/core/repeater1016/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.60 ^ soc/core/repeater1015/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.67 ^ soc/core/repeater1014/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 8.73 ^ soc/core/repeater1013/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.80 ^ soc/core/repeater1012/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.86 ^ soc/core/repeater1011/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.92 ^ soc/core/repeater1010/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 8.99 ^ soc/core/repeater1009/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 9.06 ^ soc/core/repeater1008/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 9.13 ^ soc/core/repeater1007/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 9.19 ^ soc/core/repeater1006/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 9.25 ^ soc/core/repeater1005/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 9.32 ^ soc/core/repeater1004/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 9.38 ^ soc/core/repeater1003/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 9.44 ^ soc/core/repeater1002/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 9.50 ^ soc/core/repeater1001/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 9.56 ^ soc/core/repeater1000/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 9.62 ^ soc/core/repeater999/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 9.68 ^ soc/core/repeater998/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 9.80 ^ soc/core/repeater997/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 9.90 ^ soc/core/repeater948/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 10.00 ^ soc/core/repeater947/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 10.12 ^ soc/core/repeater946/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 10.23 ^ soc/core/repeater945/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 10.33 ^ soc/core/repeater944/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 10.42 ^ soc/core/repeater943/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 10.51 ^ soc/core/repeater942/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 10.60 ^ soc/core/repeater941/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 10.68 ^ soc/core/repeater940/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 10.76 ^ soc/core/repeater939/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 10.84 ^ soc/core/repeater938/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 10.93 ^ soc/core/repeater937/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 11.01 ^ soc/core/repeater936/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 11.08 ^ soc/core/_32527_/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 11.18 ^ soc/core/output708/X (sky130_fd_sc_hd__clkbuf_4) + 0.12 11.30 ^ mgmt_buffers/input546/X (sky130_fd_sc_hd__clkbuf_2) + 0.08 11.37 v mgmt_buffers/_407_/Y (sky130_fd_sc_hd__inv_8) + 0.11 11.49 ^ mgmt_buffers/mprj_adr_buf[7]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 11.49 ^ mprj/wbs_adr_i[24] (user_project_wrapper) + 11.49 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[29] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.82 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.29 6.11 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.15 6.26 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.12 6.37 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.17 6.54 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 0.98 7.52 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.44 7.96 v soc/core/_33556_/X (sky130_fd_sc_hd__mux2_8) + 0.08 8.05 ^ soc/core/_17680_/Y (sky130_fd_sc_hd__inv_2) + 0.06 8.11 ^ soc/core/repeater1465/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.17 ^ soc/core/repeater1464/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.22 ^ soc/core/repeater1463/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 8.30 ^ soc/core/repeater1462/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 8.36 ^ soc/core/repeater1461/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.42 ^ soc/core/repeater1460/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.48 ^ soc/core/repeater1459/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.54 ^ soc/core/repeater1458/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.60 ^ soc/core/repeater1457/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.66 ^ soc/core/repeater1456/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.72 ^ soc/core/repeater1455/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.78 ^ soc/core/repeater1454/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.84 ^ soc/core/repeater1453/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 8.92 ^ soc/core/repeater1452/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 9.00 ^ soc/core/repeater1450/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 9.08 ^ soc/core/repeater1449/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 9.17 ^ soc/core/repeater1448/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 9.24 ^ soc/core/repeater1447/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 9.32 ^ soc/core/repeater1446/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 9.39 ^ soc/core/repeater1445/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 9.46 ^ soc/core/repeater1444/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 9.54 ^ soc/core/repeater1443/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 9.62 ^ soc/core/repeater1442/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 9.70 ^ soc/core/repeater1441/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 9.78 ^ soc/core/repeater1440/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 9.87 ^ soc/core/repeater1439/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 9.93 ^ soc/core/repeater1438/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 10.00 ^ soc/core/repeater1437/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 10.07 ^ soc/core/repeater1436/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 10.15 ^ soc/core/repeater1435/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 10.23 ^ soc/core/repeater1434/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 10.30 ^ soc/core/repeater1433/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 10.38 ^ soc/core/repeater1432/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 10.45 ^ soc/core/repeater1431/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 10.51 ^ soc/core/_32522_/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 10.61 ^ soc/core/output701/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 10.78 ^ mgmt_buffers/input539/X (sky130_fd_sc_hd__buf_12) + 0.11 10.89 v mgmt_buffers/_402_/Y (sky130_fd_sc_hd__inv_2) + 0.06 10.94 ^ mgmt_buffers/mprj_adr_buf[2]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 10.94 ^ mprj/wbs_adr_i[29] (user_project_wrapper) + 10.94 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[26] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.82 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.29 6.11 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.15 6.26 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.12 6.37 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.17 6.54 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 0.98 7.52 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.46 7.98 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 0.18 8.16 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 0.06 8.22 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.28 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.34 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 8.41 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 8.47 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.53 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.59 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.64 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.70 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.76 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.82 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.88 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.94 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 9.00 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 9.08 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 9.25 ^ soc/core/repeater1617/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.17 9.42 ^ soc/core/repeater1616/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.18 9.60 ^ soc/core/repeater1615/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.17 9.76 ^ soc/core/repeater1614/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.07 9.83 ^ soc/core/repeater1613/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 9.91 ^ soc/core/repeater1612/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 9.99 ^ soc/core/repeater1611/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 10.07 ^ soc/core/repeater1610/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 10.15 ^ soc/core/repeater1609/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 10.23 ^ soc/core/repeater1608/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 10.32 ^ soc/core/repeater1607/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 10.40 ^ soc/core/repeater1606/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 10.46 ^ soc/core/_32525_/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 10.55 ^ soc/core/output706/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 10.69 ^ mgmt_buffers/input544/X (sky130_fd_sc_hd__clkbuf_4) + 0.06 10.74 v mgmt_buffers/_405_/Y (sky130_fd_sc_hd__inv_16) + 0.15 10.89 ^ mgmt_buffers/mprj_adr_buf[5]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 10.89 ^ mprj/wbs_adr_i[26] (user_project_wrapper) + 10.89 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[25] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.82 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.29 6.11 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.15 6.26 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.12 6.37 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.17 6.54 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 0.98 7.52 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.43 7.95 v soc/core/_33552_/X (sky130_fd_sc_hd__mux2_8) + 0.10 8.05 ^ soc/core/_19671_/Y (sky130_fd_sc_hd__inv_2) + 0.06 8.12 ^ soc/core/repeater935/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 8.18 ^ soc/core/repeater934/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.24 ^ soc/core/repeater933/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.31 ^ soc/core/repeater932/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 8.37 ^ soc/core/repeater931/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.43 ^ soc/core/repeater930/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.49 ^ soc/core/repeater929/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.55 ^ soc/core/repeater928/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.61 ^ soc/core/repeater927/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.67 ^ soc/core/repeater926/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.73 ^ soc/core/repeater925/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.79 ^ soc/core/repeater924/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 8.84 ^ soc/core/repeater923/X (sky130_fd_sc_hd__clkbuf_1) + 0.14 8.98 ^ soc/core/repeater922/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.12 9.10 ^ soc/core/repeater921/X (sky130_fd_sc_hd__clkbuf_2) + 0.13 9.23 ^ soc/core/repeater879/X (sky130_fd_sc_hd__clkbuf_2) + 0.13 9.35 ^ soc/core/repeater878/X (sky130_fd_sc_hd__clkbuf_2) + 0.14 9.49 ^ soc/core/repeater877/X (sky130_fd_sc_hd__clkbuf_2) + 0.15 9.64 ^ soc/core/repeater876/X (sky130_fd_sc_hd__clkbuf_2) + 0.14 9.78 ^ soc/core/repeater875/X (sky130_fd_sc_hd__clkbuf_2) + 0.09 9.87 ^ soc/core/repeater874/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 9.95 ^ soc/core/repeater873/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 10.03 ^ soc/core/repeater872/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 10.11 ^ soc/core/repeater871/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 10.19 ^ soc/core/repeater870/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 10.27 ^ soc/core/repeater869/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 10.35 ^ soc/core/repeater868/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 10.41 ^ soc/core/_32526_/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 10.50 ^ soc/core/output707/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 10.63 ^ mgmt_buffers/input545/X (sky130_fd_sc_hd__clkbuf_2) + 0.11 10.74 v mgmt_buffers/_406_/Y (sky130_fd_sc_hd__clkinv_8) + 0.08 10.82 ^ mgmt_buffers/mprj_adr_buf[6]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 10.82 ^ mprj/wbs_adr_i[25] (user_project_wrapper) + 10.82 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[28] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.82 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.29 6.11 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.15 6.26 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.12 6.37 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.17 6.54 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 0.98 7.52 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.45 7.98 v soc/core/_33555_/X (sky130_fd_sc_hd__mux2_8) + 0.07 8.04 ^ soc/core/_17829_/Y (sky130_fd_sc_hd__inv_2) + 0.06 8.10 ^ soc/core/repeater1132/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.16 ^ soc/core/repeater1131/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 8.23 ^ soc/core/repeater1130/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.29 ^ soc/core/repeater1129/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.35 ^ soc/core/repeater1128/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.40 ^ soc/core/repeater1127/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.46 ^ soc/core/repeater1126/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.52 ^ soc/core/repeater1125/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.58 ^ soc/core/repeater1124/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.64 ^ soc/core/repeater1123/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.70 ^ soc/core/repeater1122/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.76 ^ soc/core/repeater1121/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 8.82 ^ soc/core/repeater1120/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 8.87 ^ soc/core/repeater1119/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 8.95 ^ soc/core/repeater1118/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 9.02 ^ soc/core/repeater1116/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 9.10 ^ soc/core/repeater1115/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 9.19 ^ soc/core/repeater1114/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 9.27 ^ soc/core/repeater1113/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 9.35 ^ soc/core/repeater1112/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 9.43 ^ soc/core/repeater1111/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 9.50 ^ soc/core/repeater1110/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 9.60 ^ soc/core/repeater1109/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 9.67 ^ soc/core/repeater1108/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 9.75 ^ soc/core/repeater1107/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 9.83 ^ soc/core/repeater1106/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 9.91 ^ soc/core/repeater1105/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 9.99 ^ soc/core/repeater1104/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 10.08 ^ soc/core/repeater1103/X (sky130_fd_sc_hd__clkbuf_1) + 0.07 10.15 ^ soc/core/repeater1102/X (sky130_fd_sc_hd__clkbuf_1) + 0.06 10.22 ^ soc/core/_32523_/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 10.30 ^ soc/core/output704/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 10.44 ^ mgmt_buffers/input542/X (sky130_fd_sc_hd__buf_2) + 0.07 10.51 v mgmt_buffers/_403_/Y (sky130_fd_sc_hd__inv_12) + 0.13 10.63 ^ mgmt_buffers/mprj_adr_buf[3]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 10.63 ^ mprj/wbs_adr_i[28] (user_project_wrapper) + 10.63 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[20] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.82 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.29 6.11 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.15 6.26 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.12 6.37 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.17 6.54 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 0.98 7.52 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.44 7.96 v soc/core/_33565_/X (sky130_fd_sc_hd__mux2_8) + 0.32 8.28 ^ soc/core/_17336_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.43 ^ soc/core/_17375_/X (sky130_fd_sc_hd__buf_12) + 0.26 8.69 ^ soc/core/output682/X (sky130_fd_sc_hd__clkbuf_4) + 0.12 8.82 ^ mgmt_buffers/input519/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 8.90 v mgmt_buffers/_411_/Y (sky130_fd_sc_hd__inv_4) + 0.08 8.98 ^ mgmt_buffers/mprj_adr_buf[11]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.98 ^ mprj/wbs_adr_i[20] (user_project_wrapper) + 8.98 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[19] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.82 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.29 6.11 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.15 6.26 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.12 6.37 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.17 6.54 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 0.98 7.52 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.59 8.11 ^ soc/core/_32605_/X (sky130_fd_sc_hd__mux2_8) + 0.28 8.39 ^ soc/core/output683/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 8.53 ^ mgmt_buffers/input520/X (sky130_fd_sc_hd__buf_2) + 0.05 8.58 v mgmt_buffers/_412_/Y (sky130_fd_sc_hd__inv_12) + 0.13 8.71 ^ mgmt_buffers/mprj_adr_buf[12]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.71 ^ mprj/wbs_adr_i[19] (user_project_wrapper) + 8.71 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[16] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.82 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.29 6.11 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.15 6.26 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.12 6.37 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.17 6.54 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 0.98 7.52 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.51 8.04 ^ soc/core/_33557_/X (sky130_fd_sc_hd__mux2_8) + 0.19 8.23 v soc/core/_18847_/Y (sky130_fd_sc_hd__clkinv_16) + 0.16 8.38 v soc/core/output686/X (sky130_fd_sc_hd__clkbuf_4) + 0.11 8.49 v mgmt_buffers/input523/X (sky130_fd_sc_hd__buf_2) + 0.12 8.62 ^ mgmt_buffers/_415_/Y (sky130_fd_sc_hd__inv_12) + 0.08 8.70 v mgmt_buffers/mprj_adr_buf[15]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.70 v mprj/wbs_adr_i[16] (user_project_wrapper) + 8.70 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[18] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.82 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.29 6.11 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.15 6.26 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.12 6.37 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.17 6.54 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 0.98 7.52 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.45 7.97 ^ soc/core/_33559_/X (sky130_fd_sc_hd__mux2_8) + 0.15 8.12 v soc/core/_17887_/Y (sky130_fd_sc_hd__clkinv_16) + 0.17 8.29 v soc/core/output684/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 8.42 v mgmt_buffers/input521/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.12 8.54 ^ mgmt_buffers/_413_/Y (sky130_fd_sc_hd__inv_6) + 0.05 8.58 v mgmt_buffers/mprj_adr_buf[13]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.58 v mprj/wbs_adr_i[18] (user_project_wrapper) + 8.58 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[7] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.82 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.29 6.11 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.15 6.26 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.12 6.37 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.17 6.54 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 0.98 7.52 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.36 7.88 v soc/core/_33572_/X (sky130_fd_sc_hd__mux2_8) + 0.16 8.04 ^ soc/core/_24051_/Y (sky130_fd_sc_hd__inv_8) + 0.17 8.21 ^ soc/core/output695/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 8.34 ^ mgmt_buffers/input533/X (sky130_fd_sc_hd__buf_4) + 0.08 8.42 v mgmt_buffers/_424_/Y (sky130_fd_sc_hd__clkinv_16) + 0.15 8.58 ^ mgmt_buffers/mprj_adr_buf[24]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.58 ^ mprj/wbs_adr_i[7] (user_project_wrapper) + 8.58 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[1] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.82 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.29 6.11 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.15 6.26 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.12 6.37 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.17 6.54 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 0.98 7.52 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.40 7.92 v soc/core/_33574_/X (sky130_fd_sc_hd__mux2_8) + 0.14 8.05 ^ soc/core/_30966_/Y (sky130_fd_sc_hd__inv_6) + 0.14 8.19 ^ soc/core/output702/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 8.33 ^ mgmt_buffers/input540/X (sky130_fd_sc_hd__buf_2) + 0.10 8.43 v mgmt_buffers/_430_/Y (sky130_fd_sc_hd__clkinv_8) + 0.12 8.55 ^ mgmt_buffers/mprj_adr_buf[30]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.55 ^ mprj/wbs_adr_i[1] (user_project_wrapper) + 8.55 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[17] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.82 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.29 6.11 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.15 6.26 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.12 6.37 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.17 6.54 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 0.98 7.52 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.40 7.92 v soc/core/_33558_/X (sky130_fd_sc_hd__mux2_8) + 0.18 8.10 ^ soc/core/_27468_/Y (sky130_fd_sc_hd__clkinv_8) + 0.13 8.23 ^ soc/core/output685/X (sky130_fd_sc_hd__clkbuf_4) + 0.12 8.35 ^ mgmt_buffers/input522/X (sky130_fd_sc_hd__clkbuf_2) + 0.11 8.46 v mgmt_buffers/_414_/Y (sky130_fd_sc_hd__clkinv_8) + 0.07 8.54 ^ mgmt_buffers/mprj_adr_buf[14]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.54 ^ mprj/wbs_adr_i[17] (user_project_wrapper) + 8.54 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[3] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.82 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.29 6.11 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.15 6.26 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.12 6.37 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.17 6.54 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 0.98 7.52 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.39 7.91 v soc/core/_33576_/X (sky130_fd_sc_hd__mux2_8) + 0.13 8.04 ^ soc/core/_17315_/Y (sky130_fd_sc_hd__inv_8) + 0.15 8.19 ^ soc/core/output699/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 8.33 ^ mgmt_buffers/input537/X (sky130_fd_sc_hd__buf_2) + 0.09 8.42 v mgmt_buffers/_428_/Y (sky130_fd_sc_hd__inv_8) + 0.08 8.50 ^ mgmt_buffers/mprj_adr_buf[28]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.50 ^ mprj/wbs_adr_i[3] (user_project_wrapper) + 8.50 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[5] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.82 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.29 6.11 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.15 6.26 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.12 6.37 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.17 6.54 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 0.98 7.52 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.34 7.86 v soc/core/_33566_/X (sky130_fd_sc_hd__mux2_8) + 0.15 8.01 ^ soc/core/_17312_/Y (sky130_fd_sc_hd__inv_12) + 0.16 8.17 ^ soc/core/output697/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 8.31 ^ mgmt_buffers/input535/X (sky130_fd_sc_hd__clkbuf_4) + 0.07 8.39 v mgmt_buffers/_426_/Y (sky130_fd_sc_hd__inv_12) + 0.11 8.50 ^ mgmt_buffers/mprj_adr_buf[26]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.50 ^ mprj/wbs_adr_i[5] (user_project_wrapper) + 8.50 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[2] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.82 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.29 6.11 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.15 6.26 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.12 6.37 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.17 6.54 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 0.98 7.52 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.39 7.92 v soc/core/_33575_/X (sky130_fd_sc_hd__mux2_8) + 0.12 8.04 ^ soc/core/_17316_/Y (sky130_fd_sc_hd__inv_12) + 0.15 8.18 ^ soc/core/output700/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 8.32 ^ mgmt_buffers/input538/X (sky130_fd_sc_hd__buf_2) + 0.09 8.41 v mgmt_buffers/_429_/Y (sky130_fd_sc_hd__inv_8) + 0.07 8.48 ^ mgmt_buffers/mprj_adr_buf[29]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.48 ^ mprj/wbs_adr_i[2] (user_project_wrapper) + 8.48 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[4] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.82 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.29 6.11 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.15 6.26 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.12 6.37 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.17 6.54 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 0.98 7.52 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.33 7.85 v soc/core/_33560_/X (sky130_fd_sc_hd__mux2_8) + 0.15 8.00 ^ soc/core/_27390_/Y (sky130_fd_sc_hd__inv_8) + 0.13 8.13 ^ soc/core/output698/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 8.28 ^ mgmt_buffers/input536/X (sky130_fd_sc_hd__buf_2) + 0.09 8.36 v mgmt_buffers/_427_/Y (sky130_fd_sc_hd__clkinv_8) + 0.12 8.48 ^ mgmt_buffers/mprj_adr_buf[27]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.48 ^ mprj/wbs_adr_i[4] (user_project_wrapper) + 8.48 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[6] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.82 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.29 6.11 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.15 6.26 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.12 6.37 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.17 6.54 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 0.98 7.52 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.36 7.88 v soc/core/_33567_/X (sky130_fd_sc_hd__mux2_8) + 0.16 8.04 ^ soc/core/_24196_/Y (sky130_fd_sc_hd__inv_12) + 0.14 8.18 ^ soc/core/output696/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 8.31 ^ mgmt_buffers/input534/X (sky130_fd_sc_hd__clkbuf_4) + 0.07 8.39 v mgmt_buffers/_425_/Y (sky130_fd_sc_hd__inv_12) + 0.09 8.48 ^ mgmt_buffers/mprj_adr_buf[25]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.48 ^ mprj/wbs_adr_i[6] (user_project_wrapper) + 8.48 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[0] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.82 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.29 6.11 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.15 6.26 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.12 6.37 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.17 6.54 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 0.98 7.52 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.41 7.93 ^ soc/core/_33573_/X (sky130_fd_sc_hd__mux2_8) + 0.09 8.02 v soc/core/_27355_/Y (sky130_fd_sc_hd__clkinv_8) + 0.18 8.20 v soc/core/output703/X (sky130_fd_sc_hd__clkbuf_4) + 0.10 8.30 v mgmt_buffers/input541/X (sky130_fd_sc_hd__clkbuf_2) + 0.14 8.44 ^ mgmt_buffers/_431_/Y (sky130_fd_sc_hd__inv_8) + 0.03 8.47 v mgmt_buffers/mprj_adr_buf[31]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.47 v mprj/wbs_adr_i[0] (user_project_wrapper) + 8.47 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[15] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.82 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.29 6.11 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.15 6.26 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.12 6.37 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.17 6.54 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 0.98 7.52 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.36 7.88 v soc/core/_33571_/X (sky130_fd_sc_hd__mux2_8) + 0.09 7.97 ^ soc/core/_19650_/Y (sky130_fd_sc_hd__clkinv_8) + 0.14 8.11 ^ soc/core/output687/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 8.25 ^ mgmt_buffers/input524/X (sky130_fd_sc_hd__buf_2) + 0.07 8.32 v mgmt_buffers/_416_/Y (sky130_fd_sc_hd__inv_12) + 0.13 8.45 ^ mgmt_buffers/mprj_adr_buf[16]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.45 ^ mprj/wbs_adr_i[15] (user_project_wrapper) + 8.45 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[12] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.82 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.29 6.11 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.15 6.26 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.12 6.37 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.17 6.54 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 0.98 7.52 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.28 7.80 v soc/core/_33569_/X (sky130_fd_sc_hd__mux2_8) + 0.14 7.95 ^ soc/core/_19652_/Y (sky130_fd_sc_hd__inv_8) + 0.12 8.07 ^ soc/core/output690/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 8.21 ^ mgmt_buffers/input527/X (sky130_fd_sc_hd__clkbuf_4) + 0.06 8.26 v mgmt_buffers/_419_/Y (sky130_fd_sc_hd__inv_16) + 0.14 8.40 ^ mgmt_buffers/mprj_adr_buf[19]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.40 ^ mprj/wbs_adr_i[12] (user_project_wrapper) + 8.40 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[8] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.82 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.29 6.11 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.15 6.26 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.12 6.37 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.17 6.54 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 0.98 7.52 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.31 7.83 v soc/core/_33561_/X (sky130_fd_sc_hd__mux2_4) + 0.14 7.97 ^ soc/core/_27412_/Y (sky130_fd_sc_hd__inv_6) + 0.14 8.11 ^ soc/core/output694/X (sky130_fd_sc_hd__clkbuf_4) + 0.12 8.23 ^ mgmt_buffers/input532/X (sky130_fd_sc_hd__clkbuf_2) + 0.05 8.27 v mgmt_buffers/_423_/Y (sky130_fd_sc_hd__inv_8) + 0.12 8.39 ^ mgmt_buffers/mprj_adr_buf[23]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.39 ^ mprj/wbs_adr_i[8] (user_project_wrapper) + 8.39 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[14] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.82 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.29 6.11 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.15 6.26 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.12 6.37 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.17 6.54 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 0.98 7.52 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.35 7.87 v soc/core/_33570_/X (sky130_fd_sc_hd__mux2_8) + 0.14 8.00 ^ soc/core/_19649_/Y (sky130_fd_sc_hd__inv_8) + 0.13 8.13 ^ soc/core/output688/X (sky130_fd_sc_hd__clkbuf_4) + 0.10 8.24 ^ mgmt_buffers/input525/X (sky130_fd_sc_hd__clkbuf_2) + 0.08 8.31 v mgmt_buffers/_417_/Y (sky130_fd_sc_hd__inv_6) + 0.06 8.38 ^ mgmt_buffers/mprj_adr_buf[17]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.38 ^ mprj/wbs_adr_i[14] (user_project_wrapper) + 8.38 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[11] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.82 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.29 6.11 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.15 6.26 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.12 6.37 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.17 6.54 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 0.98 7.52 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.28 7.80 v soc/core/_33563_/X (sky130_fd_sc_hd__mux2_8) + 0.13 7.93 ^ soc/core/_27431_/Y (sky130_fd_sc_hd__inv_8) + 0.12 8.05 ^ soc/core/output691/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 8.18 ^ mgmt_buffers/input529/X (sky130_fd_sc_hd__clkbuf_2) + 0.12 8.30 v mgmt_buffers/_420_/Y (sky130_fd_sc_hd__clkinv_8) + 0.08 8.38 ^ mgmt_buffers/mprj_adr_buf[20]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.38 ^ mprj/wbs_adr_i[11] (user_project_wrapper) + 8.38 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[13] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.82 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.29 6.11 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.15 6.26 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.12 6.37 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.17 6.54 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 0.98 7.52 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.27 7.79 v soc/core/_33568_/X (sky130_fd_sc_hd__mux2_8) + 0.15 7.93 ^ soc/core/_19651_/Y (sky130_fd_sc_hd__inv_8) + 0.13 8.06 ^ soc/core/output689/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 8.19 ^ mgmt_buffers/input526/X (sky130_fd_sc_hd__buf_2) + 0.07 8.27 v mgmt_buffers/_418_/Y (sky130_fd_sc_hd__inv_12) + 0.10 8.36 ^ mgmt_buffers/mprj_adr_buf[18]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.36 ^ mprj/wbs_adr_i[13] (user_project_wrapper) + 8.36 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[10] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.82 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.29 6.11 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.15 6.26 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.12 6.37 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.17 6.54 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 0.98 7.52 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.32 7.84 ^ soc/core/_32606_/X (sky130_fd_sc_hd__mux2_8) + 0.14 7.98 ^ soc/core/output692/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 8.11 ^ mgmt_buffers/input530/X (sky130_fd_sc_hd__buf_2) + 0.08 8.19 v mgmt_buffers/_421_/Y (sky130_fd_sc_hd__inv_12) + 0.11 8.30 ^ mgmt_buffers/mprj_adr_buf[21]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.30 ^ mprj/wbs_adr_i[10] (user_project_wrapper) + 8.30 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[9] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.82 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.29 6.11 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.15 6.26 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.12 6.37 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.17 6.54 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 0.98 7.52 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.28 7.80 v soc/core/_33562_/X (sky130_fd_sc_hd__mux2_8) + 0.13 7.93 ^ soc/core/_27418_/Y (sky130_fd_sc_hd__inv_8) + 0.12 8.05 ^ soc/core/output693/X (sky130_fd_sc_hd__clkbuf_4) + 0.10 8.15 ^ mgmt_buffers/input531/X (sky130_fd_sc_hd__clkbuf_2) + 0.05 8.20 v mgmt_buffers/_422_/Y (sky130_fd_sc_hd__inv_6) + 0.08 8.28 ^ mgmt_buffers/mprj_adr_buf[22]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.28 ^ mprj/wbs_adr_i[9] (user_project_wrapper) + 8.28 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_bidir_2[2]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[0] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_bidir_2[2]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.36 0.36 v gpio_control_bidir_2[2]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.08 0.45 v gpio_control_bidir_2[2]/output29/X (sky130_fd_sc_hd__buf_2) + 2.69 3.13 ^ padframe/mprj_pads.area2_io_pad[18]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.12 3.26 ^ gpio_control_bidir_2[2]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 3.31 v gpio_control_bidir_2[2]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.04 3.35 ^ gpio_control_bidir_2[2]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 3.35 ^ mprj/io_in[0] (user_project_wrapper) + 3.35 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_2[8]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[10] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_2[8]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.36 0.36 v gpio_control_in_2[8]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.08 0.45 v gpio_control_in_2[8]/output29/X (sky130_fd_sc_hd__buf_2) + 2.69 3.13 ^ padframe/mprj_pads.area2_io_pad[8]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.12 3.26 ^ gpio_control_in_2[8]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 3.31 v gpio_control_in_2[8]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.04 3.35 ^ gpio_control_in_2[8]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 3.35 ^ mprj/io_in[10] (user_project_wrapper) + 3.35 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_2[7]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[11] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_2[7]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.36 0.36 v gpio_control_in_2[7]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.08 0.45 v gpio_control_in_2[7]/output29/X (sky130_fd_sc_hd__buf_2) + 2.69 3.13 ^ padframe/mprj_pads.area2_io_pad[7]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.12 3.26 ^ gpio_control_in_2[7]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 3.31 v gpio_control_in_2[7]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.04 3.35 ^ gpio_control_in_2[7]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 3.35 ^ mprj/io_in[11] (user_project_wrapper) + 3.35 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_2[6]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[12] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_2[6]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.36 0.36 v gpio_control_in_2[6]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.08 0.45 v gpio_control_in_2[6]/output29/X (sky130_fd_sc_hd__buf_2) + 2.69 3.13 ^ padframe/mprj_pads.area2_io_pad[6]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.12 3.26 ^ gpio_control_in_2[6]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 3.31 v gpio_control_in_2[6]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.04 3.35 ^ gpio_control_in_2[6]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 3.35 ^ mprj/io_in[12] (user_project_wrapper) + 3.35 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_2[5]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[13] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_2[5]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.36 0.36 v gpio_control_in_2[5]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.08 0.45 v gpio_control_in_2[5]/output29/X (sky130_fd_sc_hd__buf_2) + 2.69 3.13 ^ padframe/mprj_pads.area2_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.12 3.26 ^ gpio_control_in_2[5]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 3.31 v gpio_control_in_2[5]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.04 3.35 ^ gpio_control_in_2[5]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 3.35 ^ mprj/io_in[13] (user_project_wrapper) + 3.35 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_2[4]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[14] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_2[4]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.36 0.36 v gpio_control_in_2[4]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.08 0.45 v gpio_control_in_2[4]/output29/X (sky130_fd_sc_hd__buf_2) + 2.69 3.13 ^ padframe/mprj_pads.area2_io_pad[4]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.12 3.26 ^ gpio_control_in_2[4]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 3.31 v gpio_control_in_2[4]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.04 3.35 ^ gpio_control_in_2[4]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 3.35 ^ mprj/io_in[14] (user_project_wrapper) + 3.35 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_2[3]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[15] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_2[3]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.36 0.36 v gpio_control_in_2[3]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.08 0.45 v gpio_control_in_2[3]/output29/X (sky130_fd_sc_hd__buf_2) + 2.69 3.13 ^ padframe/mprj_pads.area2_io_pad[3]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.12 3.26 ^ gpio_control_in_2[3]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 3.31 v gpio_control_in_2[3]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.04 3.35 ^ gpio_control_in_2[3]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 3.35 ^ mprj/io_in[15] (user_project_wrapper) + 3.35 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_2[2]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[16] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_2[2]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.36 0.36 v gpio_control_in_2[2]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.08 0.45 v gpio_control_in_2[2]/output29/X (sky130_fd_sc_hd__buf_2) + 2.69 3.13 ^ padframe/mprj_pads.area2_io_pad[2]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.12 3.26 ^ gpio_control_in_2[2]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 3.31 v gpio_control_in_2[2]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.04 3.35 ^ gpio_control_in_2[2]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 3.35 ^ mprj/io_in[16] (user_project_wrapper) + 3.35 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_2[1]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[17] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_2[1]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.36 0.36 v gpio_control_in_2[1]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.08 0.45 v gpio_control_in_2[1]/output29/X (sky130_fd_sc_hd__buf_2) + 2.69 3.13 ^ padframe/mprj_pads.area2_io_pad[1]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.12 3.26 ^ gpio_control_in_2[1]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 3.31 v gpio_control_in_2[1]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.04 3.35 ^ gpio_control_in_2[1]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 3.35 ^ mprj/io_in[17] (user_project_wrapper) + 3.35 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_2[0]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[18] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_2[0]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.36 0.36 v gpio_control_in_2[0]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.08 0.45 v gpio_control_in_2[0]/output29/X (sky130_fd_sc_hd__buf_2) + 2.69 3.13 ^ padframe/mprj_pads.area2_io_pad[0]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.12 3.26 ^ gpio_control_in_2[0]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 3.31 v gpio_control_in_2[0]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.04 3.35 ^ gpio_control_in_2[0]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 3.35 ^ mprj/io_in[18] (user_project_wrapper) + 3.35 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1[10]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[19] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1[10]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.36 0.36 v gpio_control_in_1[10]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.08 0.45 v gpio_control_in_1[10]/output29/X (sky130_fd_sc_hd__buf_2) + 2.69 3.13 ^ padframe/mprj_pads.area1_io_pad[18]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.12 3.26 ^ gpio_control_in_1[10]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 3.31 v gpio_control_in_1[10]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.04 3.35 ^ gpio_control_in_1[10]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 3.35 ^ mprj/io_in[19] (user_project_wrapper) + 3.35 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_bidir_2[1]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[1] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_bidir_2[1]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.36 0.36 v gpio_control_bidir_2[1]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.08 0.45 v gpio_control_bidir_2[1]/output29/X (sky130_fd_sc_hd__buf_2) + 2.69 3.13 ^ padframe/mprj_pads.area2_io_pad[17]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.12 3.26 ^ gpio_control_bidir_2[1]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 3.31 v gpio_control_bidir_2[1]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.04 3.35 ^ gpio_control_bidir_2[1]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 3.35 ^ mprj/io_in[1] (user_project_wrapper) + 3.35 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1[9]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[20] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1[9]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.36 0.36 v gpio_control_in_1[9]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.08 0.45 v gpio_control_in_1[9]/output29/X (sky130_fd_sc_hd__buf_2) + 2.69 3.13 ^ padframe/mprj_pads.area1_io_pad[17]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.12 3.26 ^ gpio_control_in_1[9]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 3.31 v gpio_control_in_1[9]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.04 3.35 ^ gpio_control_in_1[9]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 3.35 ^ mprj/io_in[20] (user_project_wrapper) + 3.35 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1[8]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[21] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1[8]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.36 0.36 v gpio_control_in_1[8]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.08 0.45 v gpio_control_in_1[8]/output29/X (sky130_fd_sc_hd__buf_2) + 2.69 3.13 ^ padframe/mprj_pads.area1_io_pad[16]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.12 3.26 ^ gpio_control_in_1[8]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 3.31 v gpio_control_in_1[8]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.04 3.35 ^ gpio_control_in_1[8]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 3.35 ^ mprj/io_in[21] (user_project_wrapper) + 3.35 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1[7]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[22] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1[7]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.36 0.36 v gpio_control_in_1[7]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.08 0.45 v gpio_control_in_1[7]/output29/X (sky130_fd_sc_hd__buf_2) + 2.69 3.13 ^ padframe/mprj_pads.area1_io_pad[15]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.12 3.26 ^ gpio_control_in_1[7]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 3.31 v gpio_control_in_1[7]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.04 3.35 ^ gpio_control_in_1[7]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 3.35 ^ mprj/io_in[22] (user_project_wrapper) + 3.35 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1[6]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[23] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1[6]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.36 0.36 v gpio_control_in_1[6]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.08 0.45 v gpio_control_in_1[6]/output29/X (sky130_fd_sc_hd__buf_2) + 2.69 3.13 ^ padframe/mprj_pads.area1_io_pad[14]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.12 3.26 ^ gpio_control_in_1[6]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 3.31 v gpio_control_in_1[6]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.04 3.35 ^ gpio_control_in_1[6]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 3.35 ^ mprj/io_in[23] (user_project_wrapper) + 3.35 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1[5]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[24] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1[5]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.36 0.36 v gpio_control_in_1[5]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.08 0.45 v gpio_control_in_1[5]/output29/X (sky130_fd_sc_hd__buf_2) + 2.69 3.13 ^ padframe/mprj_pads.area1_io_pad[13]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.12 3.26 ^ gpio_control_in_1[5]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 3.31 v gpio_control_in_1[5]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.04 3.35 ^ gpio_control_in_1[5]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 3.35 ^ mprj/io_in[24] (user_project_wrapper) + 3.35 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1[4]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[25] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1[4]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.36 0.36 v gpio_control_in_1[4]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.08 0.45 v gpio_control_in_1[4]/output29/X (sky130_fd_sc_hd__buf_2) + 2.69 3.13 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.12 3.26 ^ gpio_control_in_1[4]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 3.31 v gpio_control_in_1[4]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.04 3.35 ^ gpio_control_in_1[4]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 3.35 ^ mprj/io_in[25] (user_project_wrapper) + 3.35 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1[3]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[26] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1[3]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.36 0.36 v gpio_control_in_1[3]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.08 0.45 v gpio_control_in_1[3]/output29/X (sky130_fd_sc_hd__buf_2) + 2.69 3.13 ^ padframe/mprj_pads.area1_io_pad[11]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.12 3.26 ^ gpio_control_in_1[3]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 3.31 v gpio_control_in_1[3]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.04 3.35 ^ gpio_control_in_1[3]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 3.35 ^ mprj/io_in[26] (user_project_wrapper) + 3.35 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1[2]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[27] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1[2]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.36 0.36 v gpio_control_in_1[2]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.08 0.45 v gpio_control_in_1[2]/output29/X (sky130_fd_sc_hd__buf_2) + 2.69 3.13 ^ padframe/mprj_pads.area1_io_pad[10]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.12 3.26 ^ gpio_control_in_1[2]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 3.31 v gpio_control_in_1[2]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.04 3.35 ^ gpio_control_in_1[2]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 3.35 ^ mprj/io_in[27] (user_project_wrapper) + 3.35 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1[1]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[28] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1[1]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.36 0.36 v gpio_control_in_1[1]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.08 0.45 v gpio_control_in_1[1]/output29/X (sky130_fd_sc_hd__buf_2) + 2.69 3.13 ^ padframe/mprj_pads.area1_io_pad[9]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.12 3.26 ^ gpio_control_in_1[1]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 3.31 v gpio_control_in_1[1]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.04 3.35 ^ gpio_control_in_1[1]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 3.35 ^ mprj/io_in[28] (user_project_wrapper) + 3.35 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1[0]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[29] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1[0]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.36 0.36 v gpio_control_in_1[0]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.08 0.45 v gpio_control_in_1[0]/output29/X (sky130_fd_sc_hd__buf_2) + 2.69 3.13 ^ padframe/mprj_pads.area1_io_pad[8]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.12 3.26 ^ gpio_control_in_1[0]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 3.31 v gpio_control_in_1[0]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.04 3.35 ^ gpio_control_in_1[0]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 3.35 ^ mprj/io_in[29] (user_project_wrapper) + 3.35 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1a[5]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[30] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1a[5]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.36 0.36 v gpio_control_in_1a[5]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.08 0.45 v gpio_control_in_1a[5]/output29/X (sky130_fd_sc_hd__buf_2) + 2.69 3.13 ^ padframe/mprj_pads.area1_io_pad[7]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.12 3.26 ^ gpio_control_in_1a[5]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 3.31 v gpio_control_in_1a[5]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.04 3.35 ^ gpio_control_in_1a[5]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 3.35 ^ mprj/io_in[30] (user_project_wrapper) + 3.35 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1a[4]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[31] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1a[4]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.36 0.36 v gpio_control_in_1a[4]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.08 0.45 v gpio_control_in_1a[4]/output29/X (sky130_fd_sc_hd__buf_2) + 2.69 3.13 ^ padframe/mprj_pads.area1_io_pad[6]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.12 3.26 ^ gpio_control_in_1a[4]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 3.31 v gpio_control_in_1a[4]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.04 3.35 ^ gpio_control_in_1a[4]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 3.35 ^ mprj/io_in[31] (user_project_wrapper) + 3.35 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1a[3]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[32] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1a[3]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.36 0.36 v gpio_control_in_1a[3]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.08 0.45 v gpio_control_in_1a[3]/output29/X (sky130_fd_sc_hd__buf_2) + 2.69 3.13 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.12 3.26 ^ gpio_control_in_1a[3]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 3.31 v gpio_control_in_1a[3]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.04 3.35 ^ gpio_control_in_1a[3]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 3.35 ^ mprj/io_in[32] (user_project_wrapper) + 3.35 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1a[2]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[33] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1a[2]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.36 0.36 v gpio_control_in_1a[2]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.08 0.45 v gpio_control_in_1a[2]/output29/X (sky130_fd_sc_hd__buf_2) + 2.69 3.13 ^ padframe/mprj_pads.area1_io_pad[4]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.12 3.26 ^ gpio_control_in_1a[2]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 3.31 v gpio_control_in_1a[2]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.04 3.35 ^ gpio_control_in_1a[2]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 3.35 ^ mprj/io_in[33] (user_project_wrapper) + 3.35 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1a[1]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[34] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1a[1]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.36 0.36 v gpio_control_in_1a[1]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.08 0.45 v gpio_control_in_1a[1]/output29/X (sky130_fd_sc_hd__buf_2) + 2.69 3.13 ^ padframe/mprj_pads.area1_io_pad[3]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.12 3.26 ^ gpio_control_in_1a[1]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 3.31 v gpio_control_in_1a[1]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.04 3.35 ^ gpio_control_in_1a[1]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 3.35 ^ mprj/io_in[34] (user_project_wrapper) + 3.35 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1a[0]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[35] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1a[0]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.36 0.36 v gpio_control_in_1a[0]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.08 0.45 v gpio_control_in_1a[0]/output29/X (sky130_fd_sc_hd__buf_2) + 2.69 3.13 ^ padframe/mprj_pads.area1_io_pad[2]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.12 3.26 ^ gpio_control_in_1a[0]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 3.31 v gpio_control_in_1a[0]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.04 3.35 ^ gpio_control_in_1a[0]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 3.35 ^ mprj/io_in[35] (user_project_wrapper) + 3.35 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_bidir_1[1]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[36] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_bidir_1[1]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.36 0.36 v gpio_control_bidir_1[1]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.08 0.45 v gpio_control_bidir_1[1]/output29/X (sky130_fd_sc_hd__buf_2) + 2.69 3.13 ^ padframe/mprj_pads.area1_io_pad[1]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.12 3.26 ^ gpio_control_bidir_1[1]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 3.31 v gpio_control_bidir_1[1]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.04 3.35 ^ gpio_control_bidir_1[1]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 3.35 ^ mprj/io_in[36] (user_project_wrapper) + 3.35 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_bidir_1[0]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[37] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_bidir_1[0]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.36 0.36 v gpio_control_bidir_1[0]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.08 0.45 v gpio_control_bidir_1[0]/output29/X (sky130_fd_sc_hd__buf_2) + 2.69 3.13 ^ padframe/mprj_pads.area1_io_pad[0]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.12 3.26 ^ gpio_control_bidir_1[0]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 3.31 v gpio_control_bidir_1[0]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.04 3.35 ^ gpio_control_bidir_1[0]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 3.35 ^ mprj/io_in[37] (user_project_wrapper) + 3.35 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_2[15]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[3] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_2[15]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.36 0.36 v gpio_control_in_2[15]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.08 0.45 v gpio_control_in_2[15]/output29/X (sky130_fd_sc_hd__buf_2) + 2.69 3.13 ^ padframe/mprj_pads.area2_io_pad[15]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.12 3.26 ^ gpio_control_in_2[15]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 3.31 v gpio_control_in_2[15]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.04 3.35 ^ gpio_control_in_2[15]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 3.35 ^ mprj/io_in[3] (user_project_wrapper) + 3.35 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_2[14]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[4] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_2[14]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.36 0.36 v gpio_control_in_2[14]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.08 0.45 v gpio_control_in_2[14]/output29/X (sky130_fd_sc_hd__buf_2) + 2.69 3.13 ^ padframe/mprj_pads.area2_io_pad[14]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.12 3.26 ^ gpio_control_in_2[14]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.05 3.31 v gpio_control_in_2[14]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.04 3.35 ^ gpio_control_in_2[14]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 3.35 ^ mprj/io_in[4] (user_project_wrapper) + 3.35 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: clock (clock source 'clock') +Endpoint: mprj/user_clock2 (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.50 v clock (in) + 2.84 15.34 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.11 15.45 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 0.06 15.51 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 0.15 15.66 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 0.15 15.81 v clocking/_400_/X (sky130_fd_sc_hd__mux2_1) + 0.08 15.89 v clocking/output12/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 15.97 v mgmt_buffers/input2/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 16.09 ^ mgmt_buffers/_392_/Y (sky130_fd_sc_hd__inv_2) + 0.02 16.11 v mgmt_buffers/mprj_clk2_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 16.11 v mprj/user_clock2 (user_project_wrapper) + 16.11 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +No paths found. +Startpoint: soc/core/_39196_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[18] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.86 ^ soc/core/_39196_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.23 6.09 v soc/core/_39196_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.40 6.49 v soc/core/hold2666/X (sky130_fd_sc_hd__dlygate4sd3_1) + 0.07 6.56 ^ soc/core/_19114_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.65 ^ soc/core/output390/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 6.80 ^ mgmt_buffers/input398/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 7.00 v mgmt_buffers/la_buf_enable[109]/X (sky130_fd_sc_hd__and2b_2) + 0.13 7.13 v mgmt_buffers/la_buf[109]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 7.13 v mprj/la_data_in[18] (user_project_wrapper) + 7.13 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39184_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[30] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.94 ^ soc/core/_39184_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.39 6.33 ^ soc/core/_39184_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.14 6.46 v soc/core/_19154_/Y (sky130_fd_sc_hd__clkinv_2) + 0.13 6.59 v soc/core/output504/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 6.72 v mgmt_buffers/input512/X (sky130_fd_sc_hd__clkbuf_4) + 0.25 6.97 ^ mgmt_buffers/la_buf_enable[97]/X (sky130_fd_sc_hd__and2b_2) + 0.12 7.09 ^ mgmt_buffers/la_buf[97]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 7.09 ^ mprj/la_data_in[30] (user_project_wrapper) + 7.09 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38560_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[68] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.75 ^ soc/core/_38560_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 6.01 ^ soc/core/_38560_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.42 6.43 ^ soc/core/hold2653/X (sky130_fd_sc_hd__dlygate4sd3_1) + 0.09 6.52 v soc/core/_21291_/Y (sky130_fd_sc_hd__clkinv_2) + 0.11 6.63 v soc/core/output462/X (sky130_fd_sc_hd__clkbuf_4) + 0.11 6.74 v mgmt_buffers/input470/X (sky130_fd_sc_hd__clkbuf_2) + 0.20 6.94 ^ mgmt_buffers/la_buf_enable[59]/X (sky130_fd_sc_hd__and2b_1) + 0.11 7.04 ^ mgmt_buffers/la_buf[59]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 7.04 ^ mprj/la_data_in[68] (user_project_wrapper) + 7.04 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39187_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[27] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.91 ^ soc/core/_39187_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.41 6.32 ^ soc/core/_39187_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.13 6.45 v soc/core/_19145_/Y (sky130_fd_sc_hd__clkinv_2) + 0.13 6.58 v soc/core/output381/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.72 v mgmt_buffers/input389/X (sky130_fd_sc_hd__clkbuf_4) + 0.21 6.93 ^ mgmt_buffers/la_buf_enable[100]/X (sky130_fd_sc_hd__and2b_1) + 0.11 7.04 ^ mgmt_buffers/la_buf[100]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 7.04 ^ mprj/la_data_in[27] (user_project_wrapper) + 7.04 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38551_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[77] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.74 ^ soc/core/_38551_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.29 6.03 ^ soc/core/_38551_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.43 6.47 ^ soc/core/hold2359/X (sky130_fd_sc_hd__dlygate4sd3_1) + 0.04 6.51 v soc/core/_21321_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.59 v soc/core/output453/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 6.73 v mgmt_buffers/input461/X (sky130_fd_sc_hd__clkbuf_2) + 0.20 6.93 ^ mgmt_buffers/la_buf_enable[50]/X (sky130_fd_sc_hd__and2b_1) + 0.10 7.04 ^ mgmt_buffers/la_buf[50]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 7.04 ^ mprj/la_data_in[77] (user_project_wrapper) + 7.04 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38562_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[66] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.75 ^ soc/core/_38562_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.24 5.99 v soc/core/_38562_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.40 6.40 v soc/core/hold2592/X (sky130_fd_sc_hd__dlygate4sd3_1) + 0.08 6.48 ^ soc/core/_21285_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.57 ^ soc/core/output465/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 6.73 ^ mgmt_buffers/input473/X (sky130_fd_sc_hd__buf_2) + 0.17 6.89 v mgmt_buffers/la_buf_enable[61]/X (sky130_fd_sc_hd__and2b_1) + 0.14 7.03 v mgmt_buffers/la_buf[61]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 7.03 v mprj/la_data_in[66] (user_project_wrapper) + 7.03 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38555_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[73] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.74 ^ soc/core/_38555_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.24 5.98 v soc/core/_38555_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.40 6.38 v soc/core/hold1993/X (sky130_fd_sc_hd__dlygate4sd3_1) + 0.07 6.45 ^ soc/core/_21309_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.54 ^ soc/core/output457/X (sky130_fd_sc_hd__clkbuf_4) + 0.18 6.72 ^ mgmt_buffers/input465/X (sky130_fd_sc_hd__clkbuf_2) + 0.16 6.88 v mgmt_buffers/la_buf_enable[54]/X (sky130_fd_sc_hd__and2b_1) + 0.13 7.01 v mgmt_buffers/la_buf[54]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 7.01 v mprj/la_data_in[73] (user_project_wrapper) + 7.01 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39190_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[24] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.90 ^ soc/core/_39190_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.44 6.34 ^ soc/core/_39190_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.06 6.40 v soc/core/_19134_/Y (sky130_fd_sc_hd__inv_2) + 0.11 6.51 v soc/core/output384/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.65 v mgmt_buffers/input392/X (sky130_fd_sc_hd__clkbuf_4) + 0.21 6.87 ^ mgmt_buffers/la_buf_enable[103]/X (sky130_fd_sc_hd__and2b_1) + 0.11 6.97 ^ mgmt_buffers/la_buf[103]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.97 ^ mprj/la_data_in[24] (user_project_wrapper) + 6.97 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39188_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[26] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.90 ^ soc/core/_39188_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.42 6.32 ^ soc/core/_39188_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.07 6.40 v soc/core/_19142_/Y (sky130_fd_sc_hd__inv_2) + 0.11 6.51 v soc/core/output382/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.65 v mgmt_buffers/input390/X (sky130_fd_sc_hd__clkbuf_4) + 0.21 6.85 ^ mgmt_buffers/la_buf_enable[101]/X (sky130_fd_sc_hd__and2b_1) + 0.11 6.96 ^ mgmt_buffers/la_buf[101]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.96 ^ mprj/la_data_in[26] (user_project_wrapper) + 6.96 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39214_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[0] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.94 ^ soc/core/_39214_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.31 6.24 ^ soc/core/_39214_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.11 6.36 v soc/core/_19048_/Y (sky130_fd_sc_hd__clkinv_8) + 0.15 6.51 v soc/core/output410/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 6.66 v mgmt_buffers/input418/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 6.85 ^ mgmt_buffers/la_buf_enable[127]/X (sky130_fd_sc_hd__and2b_1) + 0.10 6.96 ^ mgmt_buffers/la_buf[127]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.96 ^ mprj/la_data_in[0] (user_project_wrapper) + 6.96 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39186_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[28] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.91 ^ soc/core/_39186_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.40 6.31 ^ soc/core/_39186_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.08 6.39 v soc/core/_19148_/Y (sky130_fd_sc_hd__inv_2) + 0.11 6.51 v soc/core/output506/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 6.65 v mgmt_buffers/input514/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 6.85 ^ mgmt_buffers/la_buf_enable[99]/X (sky130_fd_sc_hd__and2b_1) + 0.10 6.95 ^ mgmt_buffers/la_buf[99]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.95 ^ mprj/la_data_in[28] (user_project_wrapper) + 6.95 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39183_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[31] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.94 ^ soc/core/_39183_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.41 6.35 ^ soc/core/_39183_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.07 6.41 v soc/core/_19157_/Y (sky130_fd_sc_hd__inv_2) + 0.11 6.52 v soc/core/output503/X (sky130_fd_sc_hd__clkbuf_4) + 0.12 6.64 v mgmt_buffers/input511/X (sky130_fd_sc_hd__clkbuf_2) + 0.20 6.84 ^ mgmt_buffers/la_buf_enable[96]/X (sky130_fd_sc_hd__and2b_1) + 0.11 6.95 ^ mgmt_buffers/la_buf[96]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.95 ^ mprj/la_data_in[31] (user_project_wrapper) + 6.95 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39212_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[2] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.93 ^ soc/core/_39212_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.29 6.22 ^ soc/core/_39212_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.08 6.30 v soc/core/_19058_/Y (sky130_fd_sc_hd__clkinv_8) + 0.17 6.47 v soc/core/output408/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 6.62 v mgmt_buffers/input416/X (sky130_fd_sc_hd__clkbuf_4) + 0.21 6.83 ^ mgmt_buffers/la_buf_enable[125]/X (sky130_fd_sc_hd__and2b_1) + 0.11 6.94 ^ mgmt_buffers/la_buf[125]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.94 ^ mprj/la_data_in[2] (user_project_wrapper) + 6.94 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39210_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[4] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.94 ^ soc/core/_39210_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.27 6.21 v soc/core/_39210_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.13 6.34 ^ soc/core/_19065_/Y (sky130_fd_sc_hd__inv_6) + 0.14 6.48 ^ soc/core/output406/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 6.63 ^ mgmt_buffers/input414/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 6.80 v mgmt_buffers/la_buf_enable[123]/X (sky130_fd_sc_hd__and2b_1) + 0.13 6.94 v mgmt_buffers/la_buf[123]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.94 v mprj/la_data_in[4] (user_project_wrapper) + 6.94 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38588_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[104] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.71 ^ soc/core/_38588_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.24 5.95 v soc/core/_38588_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.40 6.34 v soc/core/hold2529/X (sky130_fd_sc_hd__dlygate4sd3_1) + 0.07 6.42 ^ soc/core/_21195_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.51 ^ soc/core/output423/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 6.64 ^ mgmt_buffers/input431/X (sky130_fd_sc_hd__buf_2) + 0.16 6.80 v mgmt_buffers/la_buf_enable[23]/X (sky130_fd_sc_hd__and2b_1) + 0.13 6.93 v mgmt_buffers/la_buf[23]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.93 v mprj/la_data_in[104] (user_project_wrapper) + 6.93 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39213_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[1] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.94 ^ soc/core/_39213_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.29 6.23 ^ soc/core/_39213_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.10 6.33 v soc/core/_19055_/Y (sky130_fd_sc_hd__clkinv_8) + 0.13 6.46 v soc/core/output409/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 6.61 v mgmt_buffers/input417/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 6.81 ^ mgmt_buffers/la_buf_enable[126]/X (sky130_fd_sc_hd__and2b_1) + 0.11 6.92 ^ mgmt_buffers/la_buf[126]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.92 ^ mprj/la_data_in[1] (user_project_wrapper) + 6.92 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39189_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[25] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.90 ^ soc/core/_39189_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.37 6.27 ^ soc/core/_39189_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.06 6.33 v soc/core/_19139_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.43 v soc/core/output383/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 6.58 v mgmt_buffers/input391/X (sky130_fd_sc_hd__clkbuf_4) + 0.22 6.80 ^ mgmt_buffers/la_buf_enable[102]/X (sky130_fd_sc_hd__and2b_1) + 0.11 6.91 ^ mgmt_buffers/la_buf[102]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.91 ^ mprj/la_data_in[25] (user_project_wrapper) + 6.91 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39211_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[3] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.93 ^ soc/core/_39211_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.30 6.24 ^ soc/core/_39211_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.11 6.34 v soc/core/_19062_/Y (sky130_fd_sc_hd__clkinv_8) + 0.13 6.47 v soc/core/output407/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.61 v mgmt_buffers/input415/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 6.81 ^ mgmt_buffers/la_buf_enable[124]/X (sky130_fd_sc_hd__and2b_1) + 0.10 6.91 ^ mgmt_buffers/la_buf[124]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.91 ^ mprj/la_data_in[3] (user_project_wrapper) + 6.91 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39185_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[29] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.91 ^ soc/core/_39185_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.36 6.27 ^ soc/core/_39185_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.06 6.33 v soc/core/_19151_/Y (sky130_fd_sc_hd__inv_2) + 0.11 6.44 v soc/core/output505/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.58 v mgmt_buffers/input513/X (sky130_fd_sc_hd__clkbuf_4) + 0.22 6.80 ^ mgmt_buffers/la_buf_enable[98]/X (sky130_fd_sc_hd__and2b_1) + 0.11 6.91 ^ mgmt_buffers/la_buf[98]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.91 ^ mprj/la_data_in[29] (user_project_wrapper) + 6.91 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38532_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[32] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.95 ^ soc/core/_38532_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.38 6.33 ^ soc/core/_38532_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.02 6.35 v soc/core/_32262_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.44 v soc/core/output502/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 6.59 v mgmt_buffers/input510/X (sky130_fd_sc_hd__clkbuf_4) + 0.21 6.79 ^ mgmt_buffers/la_buf_enable[95]/X (sky130_fd_sc_hd__and2b_1) + 0.11 6.90 ^ mgmt_buffers/la_buf[95]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.90 ^ mprj/la_data_in[32] (user_project_wrapper) + 6.90 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38526_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[38] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.95 ^ soc/core/_38526_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.31 6.26 ^ soc/core/_38526_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.04 6.30 v soc/core/_32256_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.39 v soc/core/output495/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.53 v mgmt_buffers/input503/X (sky130_fd_sc_hd__buf_4) + 0.25 6.78 ^ mgmt_buffers/la_buf_enable[89]/X (sky130_fd_sc_hd__and2b_1) + 0.12 6.90 ^ mgmt_buffers/la_buf[89]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.90 ^ mprj/la_data_in[38] (user_project_wrapper) + 6.90 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39209_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[5] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.91 ^ soc/core/_39209_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.27 6.18 v soc/core/_39209_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.13 6.31 ^ soc/core/_19068_/Y (sky130_fd_sc_hd__inv_6) + 0.15 6.46 ^ soc/core/output405/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.60 ^ mgmt_buffers/input413/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 6.76 v mgmt_buffers/la_buf_enable[122]/X (sky130_fd_sc_hd__and2b_1) + 0.13 6.90 v mgmt_buffers/la_buf[122]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.90 v mprj/la_data_in[5] (user_project_wrapper) + 6.90 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38424_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[44] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.95 ^ soc/core/_38424_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.30 6.25 ^ soc/core/_38424_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.19 6.44 ^ soc/core/_32475_/X (sky130_fd_sc_hd__clkbuf_2) + 0.12 6.56 ^ soc/core/output617/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 6.72 ^ mgmt_buffers/input241/X (sky130_fd_sc_hd__clkbuf_4) + 0.12 6.83 v mgmt_buffers/_547_/Y (sky130_fd_sc_hd__clkinv_2) + 0.06 6.89 ^ mgmt_buffers/la_buf[83]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.89 ^ mprj/la_data_in[44] (user_project_wrapper) + 6.89 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38531_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[33] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.95 ^ soc/core/_38531_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.34 6.29 ^ soc/core/_38531_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.02 6.30 v soc/core/_32261_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.39 v soc/core/output501/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.53 v mgmt_buffers/input509/X (sky130_fd_sc_hd__buf_4) + 0.24 6.77 ^ mgmt_buffers/la_buf_enable[94]/X (sky130_fd_sc_hd__and2b_1) + 0.11 6.88 ^ mgmt_buffers/la_buf[94]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.88 ^ mprj/la_data_in[33] (user_project_wrapper) + 6.88 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39208_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[6] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.91 ^ soc/core/_39208_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 6.16 v soc/core/_39208_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.13 6.29 ^ soc/core/_19071_/Y (sky130_fd_sc_hd__inv_4) + 0.13 6.42 ^ soc/core/output404/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.56 ^ mgmt_buffers/input412/X (sky130_fd_sc_hd__clkbuf_4) + 0.18 6.74 v mgmt_buffers/la_buf_enable[121]/X (sky130_fd_sc_hd__and2b_1) + 0.14 6.88 v mgmt_buffers/la_buf[121]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.88 v mprj/la_data_in[6] (user_project_wrapper) + 6.88 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39207_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[7] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.91 ^ soc/core/_39207_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 6.16 v soc/core/_39207_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.13 6.29 ^ soc/core/_19075_/Y (sky130_fd_sc_hd__inv_4) + 0.14 6.42 ^ soc/core/output403/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 6.56 ^ mgmt_buffers/input411/X (sky130_fd_sc_hd__clkbuf_2) + 0.18 6.73 v mgmt_buffers/la_buf_enable[120]/X (sky130_fd_sc_hd__and2b_1) + 0.14 6.87 v mgmt_buffers/la_buf[120]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.87 v mprj/la_data_in[7] (user_project_wrapper) + 6.87 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38565_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[127] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.72 ^ soc/core/_38565_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.51 6.23 ^ soc/core/_38565_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.12 6.35 v soc/core/_21273_/Y (sky130_fd_sc_hd__clkinv_2) + 0.13 6.48 v soc/core/output380/X (sky130_fd_sc_hd__clkbuf_4) + 0.10 6.59 v mgmt_buffers/input388/X (sky130_fd_sc_hd__clkbuf_2) + 0.18 6.77 ^ mgmt_buffers/la_buf_enable[0]/X (sky130_fd_sc_hd__and2b_1) + 0.10 6.87 ^ mgmt_buffers/la_buf[0]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.87 ^ mprj/la_data_in[127] (user_project_wrapper) + 6.87 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38527_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[37] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.95 ^ soc/core/_38527_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.32 6.27 ^ soc/core/_38527_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.02 6.29 v soc/core/_32257_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.38 v soc/core/output497/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.52 v mgmt_buffers/input505/X (sky130_fd_sc_hd__clkbuf_4) + 0.22 6.74 ^ mgmt_buffers/la_buf_enable[90]/X (sky130_fd_sc_hd__and2b_1) + 0.11 6.85 ^ mgmt_buffers/la_buf[90]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.85 ^ mprj/la_data_in[37] (user_project_wrapper) + 6.85 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38423_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[45] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.95 ^ soc/core/_38423_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.30 6.25 ^ soc/core/_38423_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.16 6.41 ^ soc/core/_32474_/X (sky130_fd_sc_hd__clkbuf_2) + 0.11 6.52 ^ soc/core/output616/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 6.69 ^ mgmt_buffers/input240/X (sky130_fd_sc_hd__buf_2) + 0.11 6.80 v mgmt_buffers/_546_/Y (sky130_fd_sc_hd__clkinv_2) + 0.05 6.85 ^ mgmt_buffers/la_buf[82]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.85 ^ mprj/la_data_in[45] (user_project_wrapper) + 6.85 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38529_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[35] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.95 ^ soc/core/_38529_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.31 6.26 ^ soc/core/_38529_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.02 6.29 v soc/core/_32259_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.37 v soc/core/output499/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 6.52 v mgmt_buffers/input507/X (sky130_fd_sc_hd__clkbuf_4) + 0.22 6.74 ^ mgmt_buffers/la_buf_enable[92]/X (sky130_fd_sc_hd__and2b_1) + 0.11 6.85 ^ mgmt_buffers/la_buf[92]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.85 ^ mprj/la_data_in[35] (user_project_wrapper) + 6.85 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38422_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[46] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.95 ^ soc/core/_38422_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.29 6.24 ^ soc/core/_38422_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.16 6.40 ^ soc/core/_32473_/X (sky130_fd_sc_hd__buf_2) + 0.11 6.51 ^ soc/core/output615/X (sky130_fd_sc_hd__clkbuf_4) + 0.18 6.69 ^ mgmt_buffers/input239/X (sky130_fd_sc_hd__clkbuf_4) + 0.10 6.79 v mgmt_buffers/_545_/Y (sky130_fd_sc_hd__clkinv_2) + 0.05 6.85 ^ mgmt_buffers/la_buf[81]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.85 ^ mprj/la_data_in[46] (user_project_wrapper) + 6.85 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38566_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[126] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.72 ^ soc/core/_38566_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.48 6.21 ^ soc/core/_38566_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.13 6.33 v soc/core/_21270_/Y (sky130_fd_sc_hd__clkinv_2) + 0.13 6.46 v soc/core/output419/X (sky130_fd_sc_hd__clkbuf_4) + 0.11 6.57 v mgmt_buffers/input427/X (sky130_fd_sc_hd__buf_2) + 0.17 6.74 ^ mgmt_buffers/la_buf_enable[1]/X (sky130_fd_sc_hd__and2b_1) + 0.10 6.84 ^ mgmt_buffers/la_buf[1]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.84 ^ mprj/la_data_in[126] (user_project_wrapper) + 6.84 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38530_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[34] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.95 ^ soc/core/_38530_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.28 6.23 v soc/core/_38530_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.06 6.29 ^ soc/core/_32260_/Y (sky130_fd_sc_hd__inv_2) + 0.08 6.37 ^ soc/core/output500/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 6.53 ^ mgmt_buffers/input508/X (sky130_fd_sc_hd__buf_2) + 0.17 6.70 v mgmt_buffers/la_buf_enable[93]/X (sky130_fd_sc_hd__and2b_1) + 0.13 6.83 v mgmt_buffers/la_buf[93]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.83 v mprj/la_data_in[34] (user_project_wrapper) + 6.83 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38528_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[36] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.95 ^ soc/core/_38528_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.33 6.28 ^ soc/core/_38528_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.02 6.30 v soc/core/_32258_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.39 v soc/core/output498/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.53 v mgmt_buffers/input506/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 6.72 ^ mgmt_buffers/la_buf_enable[91]/X (sky130_fd_sc_hd__and2b_1) + 0.10 6.83 ^ mgmt_buffers/la_buf[91]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.83 ^ mprj/la_data_in[36] (user_project_wrapper) + 6.83 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38426_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[42] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.95 ^ soc/core/_38426_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.28 6.23 ^ soc/core/_38426_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.15 6.38 ^ soc/core/_32477_/X (sky130_fd_sc_hd__clkbuf_2) + 0.10 6.49 ^ soc/core/output619/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 6.66 ^ mgmt_buffers/input243/X (sky130_fd_sc_hd__clkbuf_4) + 0.10 6.76 v mgmt_buffers/_549_/Y (sky130_fd_sc_hd__clkinv_2) + 0.05 6.81 ^ mgmt_buffers/la_buf[85]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.81 ^ mprj/la_data_in[42] (user_project_wrapper) + 6.81 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[47] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.95 ^ soc/core/_38421_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.29 6.24 ^ soc/core/_38421_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.14 6.38 ^ soc/core/_32472_/X (sky130_fd_sc_hd__clkbuf_2) + 0.10 6.48 ^ soc/core/output614/X (sky130_fd_sc_hd__clkbuf_4) + 0.18 6.65 ^ mgmt_buffers/input238/X (sky130_fd_sc_hd__clkbuf_4) + 0.10 6.75 v mgmt_buffers/_544_/Y (sky130_fd_sc_hd__clkinv_2) + 0.05 6.80 ^ mgmt_buffers/la_buf[80]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.80 ^ mprj/la_data_in[47] (user_project_wrapper) + 6.80 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39206_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[8] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.86 ^ soc/core/_39206_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.27 6.13 ^ soc/core/_39206_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.09 6.22 v soc/core/_19078_/Y (sky130_fd_sc_hd__clkinv_2) + 0.11 6.33 v soc/core/output401/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 6.46 v mgmt_buffers/input409/X (sky130_fd_sc_hd__clkbuf_4) + 0.22 6.68 ^ mgmt_buffers/la_buf_enable[119]/X (sky130_fd_sc_hd__and2b_2) + 0.11 6.79 ^ mgmt_buffers/la_buf[119]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.79 ^ mprj/la_data_in[8] (user_project_wrapper) + 6.79 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38425_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[43] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.95 ^ soc/core/_38425_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.29 6.24 ^ soc/core/_38425_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.14 6.38 ^ soc/core/_32476_/X (sky130_fd_sc_hd__clkbuf_2) + 0.10 6.47 ^ soc/core/output618/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 6.62 ^ mgmt_buffers/input242/X (sky130_fd_sc_hd__buf_4) + 0.11 6.73 v mgmt_buffers/_548_/Y (sky130_fd_sc_hd__clkinv_2) + 0.05 6.78 ^ mgmt_buffers/la_buf[84]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.78 ^ mprj/la_data_in[43] (user_project_wrapper) + 6.78 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39200_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[14] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.86 ^ soc/core/_39200_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.28 6.14 ^ soc/core/_39200_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.05 6.19 v soc/core/_19099_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.28 v soc/core/output395/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.42 v mgmt_buffers/input403/X (sky130_fd_sc_hd__clkbuf_4) + 0.24 6.66 ^ mgmt_buffers/la_buf_enable[113]/X (sky130_fd_sc_hd__and2b_1) + 0.11 6.77 ^ mgmt_buffers/la_buf[113]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.77 ^ mprj/la_data_in[14] (user_project_wrapper) + 6.77 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39205_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[9] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.88 ^ soc/core/_39205_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.29 6.17 ^ soc/core/_39205_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.05 6.22 v soc/core/_19083_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.31 v soc/core/output400/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.46 v mgmt_buffers/input408/X (sky130_fd_sc_hd__clkbuf_4) + 0.21 6.67 ^ mgmt_buffers/la_buf_enable[118]/X (sky130_fd_sc_hd__and2b_1) + 0.11 6.77 ^ mgmt_buffers/la_buf[118]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.77 ^ mprj/la_data_in[9] (user_project_wrapper) + 6.77 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39198_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[16] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.86 ^ soc/core/_39198_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.28 6.15 ^ soc/core/_39198_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.05 6.20 v soc/core/_19106_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.29 v soc/core/output393/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 6.42 v mgmt_buffers/input401/X (sky130_fd_sc_hd__buf_4) + 0.23 6.65 ^ mgmt_buffers/la_buf_enable[111]/X (sky130_fd_sc_hd__and2b_1) + 0.11 6.76 ^ mgmt_buffers/la_buf[111]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.76 ^ mprj/la_data_in[16] (user_project_wrapper) + 6.76 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38429_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[39] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.91 ^ soc/core/_38429_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 6.16 ^ soc/core/_38429_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.15 6.31 ^ soc/core/_32480_/X (sky130_fd_sc_hd__clkbuf_2) + 0.11 6.42 ^ soc/core/output622/X (sky130_fd_sc_hd__clkbuf_4) + 0.18 6.60 ^ mgmt_buffers/input246/X (sky130_fd_sc_hd__buf_2) + 0.10 6.70 v mgmt_buffers/_552_/Y (sky130_fd_sc_hd__clkinv_2) + 0.05 6.76 ^ mgmt_buffers/la_buf[88]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.76 ^ mprj/la_data_in[39] (user_project_wrapper) + 6.76 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38524_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[40] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.92 ^ soc/core/_38524_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.28 6.20 ^ soc/core/_38524_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.02 6.22 v soc/core/_32254_/Y (sky130_fd_sc_hd__inv_2) + 0.08 6.30 v soc/core/output493/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 6.46 v mgmt_buffers/input501/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 6.65 ^ mgmt_buffers/la_buf_enable[87]/X (sky130_fd_sc_hd__and2b_1) + 0.10 6.75 ^ mgmt_buffers/la_buf[87]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.75 ^ mprj/la_data_in[40] (user_project_wrapper) + 6.75 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39204_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[10] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.88 ^ soc/core/_39204_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.28 6.16 ^ soc/core/_39204_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.04 6.20 v soc/core/_19086_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.29 v soc/core/output399/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.43 v mgmt_buffers/input407/X (sky130_fd_sc_hd__clkbuf_4) + 0.21 6.65 ^ mgmt_buffers/la_buf_enable[117]/X (sky130_fd_sc_hd__and2b_1) + 0.11 6.75 ^ mgmt_buffers/la_buf[117]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.75 ^ mprj/la_data_in[10] (user_project_wrapper) + 6.75 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39192_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[22] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.86 ^ soc/core/_39192_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.31 6.17 ^ soc/core/_39192_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.05 6.22 v soc/core/_19127_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.32 v soc/core/output386/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 6.45 v mgmt_buffers/input394/X (sky130_fd_sc_hd__buf_4) + 0.19 6.64 ^ mgmt_buffers/la_buf_enable[105]/X (sky130_fd_sc_hd__and2b_1) + 0.11 6.75 ^ mgmt_buffers/la_buf[105]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.75 ^ mprj/la_data_in[22] (user_project_wrapper) + 6.75 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39194_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[20] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.86 ^ soc/core/_39194_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.27 6.13 ^ soc/core/_39194_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.04 6.17 v soc/core/_19121_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.26 v soc/core/output388/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.40 v mgmt_buffers/input396/X (sky130_fd_sc_hd__clkbuf_4) + 0.24 6.64 ^ mgmt_buffers/la_buf_enable[107]/X (sky130_fd_sc_hd__and2b_1) + 0.11 6.75 ^ mgmt_buffers/la_buf[107]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.75 ^ mprj/la_data_in[20] (user_project_wrapper) + 6.75 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38523_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[41] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.92 ^ soc/core/_38523_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.28 6.20 ^ soc/core/_38523_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.02 6.22 v soc/core/_32253_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.31 v soc/core/output492/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 6.44 v mgmt_buffers/input500/X (sky130_fd_sc_hd__buf_4) + 0.20 6.64 ^ mgmt_buffers/la_buf_enable[86]/X (sky130_fd_sc_hd__and2b_1) + 0.10 6.75 ^ mgmt_buffers/la_buf[86]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.75 ^ mprj/la_data_in[41] (user_project_wrapper) + 6.75 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39199_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[15] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.86 ^ soc/core/_39199_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.28 6.13 ^ soc/core/_39199_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.03 6.17 v soc/core/_19103_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.26 v soc/core/output394/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 6.39 v mgmt_buffers/input402/X (sky130_fd_sc_hd__buf_2) + 0.24 6.62 ^ mgmt_buffers/la_buf_enable[112]/X (sky130_fd_sc_hd__and2b_1) + 0.11 6.74 ^ mgmt_buffers/la_buf[112]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.74 ^ mprj/la_data_in[15] (user_project_wrapper) + 6.74 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39203_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[11] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.88 ^ soc/core/_39203_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.27 6.16 ^ soc/core/_39203_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.04 6.19 v soc/core/_19090_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.28 v soc/core/output398/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.42 v mgmt_buffers/input406/X (sky130_fd_sc_hd__clkbuf_4) + 0.21 6.63 ^ mgmt_buffers/la_buf_enable[116]/X (sky130_fd_sc_hd__and2b_1) + 0.10 6.73 ^ mgmt_buffers/la_buf[116]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.73 ^ mprj/la_data_in[11] (user_project_wrapper) + 6.73 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38512_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[52] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.76 ^ soc/core/_38512_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.31 6.06 ^ soc/core/_38512_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.11 6.18 v soc/core/_32242_/Y (sky130_fd_sc_hd__clkinv_2) + 0.12 6.30 v soc/core/output480/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 6.43 v mgmt_buffers/input488/X (sky130_fd_sc_hd__buf_2) + 0.20 6.63 ^ mgmt_buffers/la_buf_enable[75]/X (sky130_fd_sc_hd__and2b_1) + 0.11 6.73 ^ mgmt_buffers/la_buf[75]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.73 ^ mprj/la_data_in[52] (user_project_wrapper) + 6.73 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39201_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[13] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.86 ^ soc/core/_39201_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.27 6.13 ^ soc/core/_39201_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.04 6.17 v soc/core/_19096_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.26 v soc/core/output396/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.40 v mgmt_buffers/input404/X (sky130_fd_sc_hd__clkbuf_4) + 0.22 6.62 ^ mgmt_buffers/la_buf_enable[114]/X (sky130_fd_sc_hd__and2b_1) + 0.11 6.73 ^ mgmt_buffers/la_buf[114]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.73 ^ mprj/la_data_in[13] (user_project_wrapper) + 6.73 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39193_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[21] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.86 ^ soc/core/_39193_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.24 6.10 v soc/core/_39193_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.07 6.17 ^ soc/core/_19124_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.26 ^ soc/core/output387/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 6.41 ^ mgmt_buffers/input395/X (sky130_fd_sc_hd__buf_2) + 0.18 6.59 v mgmt_buffers/la_buf_enable[106]/X (sky130_fd_sc_hd__and2b_1) + 0.14 6.73 v mgmt_buffers/la_buf[106]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.73 v mprj/la_data_in[21] (user_project_wrapper) + 6.73 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38513_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[51] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.75 ^ soc/core/_38513_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.25 6.00 v soc/core/_38513_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.12 6.13 ^ soc/core/_32243_/Y (sky130_fd_sc_hd__inv_2) + 0.11 6.24 ^ soc/core/output481/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 6.40 ^ mgmt_buffers/input489/X (sky130_fd_sc_hd__clkbuf_4) + 0.18 6.58 v mgmt_buffers/la_buf_enable[76]/X (sky130_fd_sc_hd__and2b_1) + 0.14 6.73 v mgmt_buffers/la_buf[76]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.73 v mprj/la_data_in[51] (user_project_wrapper) + 6.73 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38534_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[94] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.74 ^ soc/core/_38534_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.41 6.15 ^ soc/core/_38534_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.07 6.21 v soc/core/_21378_/Y (sky130_fd_sc_hd__inv_2) + 0.11 6.32 v soc/core/output434/X (sky130_fd_sc_hd__clkbuf_4) + 0.11 6.43 v mgmt_buffers/input442/X (sky130_fd_sc_hd__buf_2) + 0.18 6.61 ^ mgmt_buffers/la_buf_enable[33]/X (sky130_fd_sc_hd__and2b_1) + 0.10 6.72 ^ mgmt_buffers/la_buf[33]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.72 ^ mprj/la_data_in[94] (user_project_wrapper) + 6.72 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38516_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[48] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.76 ^ soc/core/_38516_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.29 6.05 ^ soc/core/_38516_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.07 6.12 v soc/core/_32246_/Y (sky130_fd_sc_hd__inv_2) + 0.11 6.22 v soc/core/output484/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.37 v mgmt_buffers/input492/X (sky130_fd_sc_hd__clkbuf_4) + 0.23 6.60 ^ mgmt_buffers/la_buf_enable[79]/X (sky130_fd_sc_hd__and2b_1) + 0.11 6.71 ^ mgmt_buffers/la_buf[79]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.71 ^ mprj/la_data_in[48] (user_project_wrapper) + 6.71 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38510_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[54] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.75 ^ soc/core/_38510_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.29 6.04 ^ soc/core/_38510_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.11 6.15 v soc/core/_32240_/Y (sky130_fd_sc_hd__clkinv_2) + 0.12 6.27 v soc/core/output478/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.41 v mgmt_buffers/input486/X (sky130_fd_sc_hd__clkbuf_4) + 0.19 6.61 ^ mgmt_buffers/la_buf_enable[73]/X (sky130_fd_sc_hd__and2b_1) + 0.11 6.71 ^ mgmt_buffers/la_buf[73]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.71 ^ mprj/la_data_in[54] (user_project_wrapper) + 6.71 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39195_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[19] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.86 ^ soc/core/_39195_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.24 6.10 v soc/core/_39195_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.07 6.17 ^ soc/core/_19118_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.25 ^ soc/core/output389/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 6.41 ^ mgmt_buffers/input397/X (sky130_fd_sc_hd__buf_4) + 0.17 6.58 v mgmt_buffers/la_buf_enable[108]/X (sky130_fd_sc_hd__and2b_1) + 0.13 6.71 v mgmt_buffers/la_buf[108]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.71 v mprj/la_data_in[19] (user_project_wrapper) + 6.71 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39197_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[17] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.86 ^ soc/core/_39197_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.27 6.13 ^ soc/core/_39197_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.04 6.17 v soc/core/_19111_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.27 v soc/core/output392/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.41 v mgmt_buffers/input400/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 6.61 ^ mgmt_buffers/la_buf_enable[110]/X (sky130_fd_sc_hd__and2b_1) + 0.10 6.71 ^ mgmt_buffers/la_buf[110]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.71 ^ mprj/la_data_in[17] (user_project_wrapper) + 6.71 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39191_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[23] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.86 ^ soc/core/_39191_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.28 6.13 ^ soc/core/_39191_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.05 6.18 v soc/core/_19131_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.28 v soc/core/output385/X (sky130_fd_sc_hd__clkbuf_4) + 0.11 6.39 v mgmt_buffers/input393/X (sky130_fd_sc_hd__buf_2) + 0.21 6.60 ^ mgmt_buffers/la_buf_enable[104]/X (sky130_fd_sc_hd__and2b_1) + 0.11 6.71 ^ mgmt_buffers/la_buf[104]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.71 ^ mprj/la_data_in[23] (user_project_wrapper) + 6.71 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38561_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[67] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.75 ^ soc/core/_38561_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.24 5.99 v soc/core/_38561_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.10 6.09 ^ soc/core/_21288_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.19 ^ soc/core/output464/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 6.39 ^ mgmt_buffers/input472/X (sky130_fd_sc_hd__buf_2) + 0.18 6.57 v mgmt_buffers/la_buf_enable[60]/X (sky130_fd_sc_hd__and2b_1) + 0.13 6.70 v mgmt_buffers/la_buf[60]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.70 v mprj/la_data_in[67] (user_project_wrapper) + 6.70 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38508_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[56] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.75 ^ soc/core/_38508_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.29 6.04 ^ soc/core/_38508_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.11 6.15 v soc/core/_32238_/Y (sky130_fd_sc_hd__clkinv_2) + 0.12 6.27 v soc/core/output476/X (sky130_fd_sc_hd__clkbuf_4) + 0.12 6.39 v mgmt_buffers/input484/X (sky130_fd_sc_hd__clkbuf_2) + 0.20 6.59 ^ mgmt_buffers/la_buf_enable[71]/X (sky130_fd_sc_hd__and2b_1) + 0.11 6.69 ^ mgmt_buffers/la_buf[71]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.69 ^ mprj/la_data_in[56] (user_project_wrapper) + 6.69 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38515_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[49] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.76 ^ soc/core/_38515_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 6.01 v soc/core/_38515_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.12 6.13 ^ soc/core/_32245_/Y (sky130_fd_sc_hd__inv_2) + 0.11 6.24 ^ soc/core/output483/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 6.40 ^ mgmt_buffers/input491/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 6.56 v mgmt_buffers/la_buf_enable[78]/X (sky130_fd_sc_hd__and2b_1) + 0.13 6.69 v mgmt_buffers/la_buf[78]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.69 v mprj/la_data_in[49] (user_project_wrapper) + 6.69 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39202_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[12] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.86 ^ soc/core/_39202_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.27 6.13 ^ soc/core/_39202_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.03 6.16 v soc/core/_19093_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.25 v soc/core/output397/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.38 v mgmt_buffers/input405/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 6.59 ^ mgmt_buffers/la_buf_enable[115]/X (sky130_fd_sc_hd__and2b_1) + 0.11 6.69 ^ mgmt_buffers/la_buf[115]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.69 ^ mprj/la_data_in[12] (user_project_wrapper) + 6.69 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38533_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[95] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.74 ^ soc/core/_38533_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.39 6.13 ^ soc/core/_38533_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.05 6.18 v soc/core/_21381_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.29 v soc/core/output433/X (sky130_fd_sc_hd__clkbuf_4) + 0.11 6.40 v mgmt_buffers/input441/X (sky130_fd_sc_hd__buf_2) + 0.18 6.59 ^ mgmt_buffers/la_buf_enable[32]/X (sky130_fd_sc_hd__and2b_1) + 0.10 6.69 ^ mgmt_buffers/la_buf[32]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.69 ^ mprj/la_data_in[95] (user_project_wrapper) + 6.69 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38511_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[53] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.76 ^ soc/core/_38511_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 6.02 v soc/core/_38511_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.10 6.12 ^ soc/core/_32241_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.22 ^ soc/core/output479/X (sky130_fd_sc_hd__clkbuf_4) + 0.18 6.40 ^ mgmt_buffers/input487/X (sky130_fd_sc_hd__buf_2) + 0.16 6.56 v mgmt_buffers/la_buf_enable[74]/X (sky130_fd_sc_hd__and2b_1) + 0.13 6.68 v mgmt_buffers/la_buf[74]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.68 v mprj/la_data_in[53] (user_project_wrapper) + 6.68 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38507_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[57] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.75 ^ soc/core/_38507_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 6.01 v soc/core/_38507_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.09 6.10 ^ soc/core/_32237_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.20 ^ soc/core/output475/X (sky130_fd_sc_hd__clkbuf_4) + 0.18 6.37 ^ mgmt_buffers/input483/X (sky130_fd_sc_hd__buf_2) + 0.17 6.54 v mgmt_buffers/la_buf_enable[70]/X (sky130_fd_sc_hd__and2b_1) + 0.13 6.68 v mgmt_buffers/la_buf[70]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.68 v mprj/la_data_in[57] (user_project_wrapper) + 6.68 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38540_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[88] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.73 ^ soc/core/_38540_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.30 6.04 ^ soc/core/_38540_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.11 6.15 v soc/core/_21358_/Y (sky130_fd_sc_hd__clkinv_2) + 0.12 6.27 v soc/core/output440/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 6.40 v mgmt_buffers/input448/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.18 6.58 ^ mgmt_buffers/la_buf_enable[39]/X (sky130_fd_sc_hd__and2b_1) + 0.10 6.68 ^ mgmt_buffers/la_buf[39]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.68 ^ mprj/la_data_in[88] (user_project_wrapper) + 6.68 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38509_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[55] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.75 ^ soc/core/_38509_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.34 6.09 ^ soc/core/_38509_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.05 6.15 v soc/core/_32239_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.25 v soc/core/output477/X (sky130_fd_sc_hd__clkbuf_4) + 0.12 6.36 v mgmt_buffers/input485/X (sky130_fd_sc_hd__buf_2) + 0.20 6.56 ^ mgmt_buffers/la_buf_enable[72]/X (sky130_fd_sc_hd__and2b_1) + 0.11 6.67 ^ mgmt_buffers/la_buf[72]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.67 ^ mprj/la_data_in[55] (user_project_wrapper) + 6.67 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38543_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[85] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.72 ^ soc/core/_38543_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.33 6.05 ^ soc/core/_38543_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.06 6.10 v soc/core/_21348_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.21 v soc/core/output444/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 6.36 v mgmt_buffers/input452/X (sky130_fd_sc_hd__clkbuf_4) + 0.21 6.56 ^ mgmt_buffers/la_buf_enable[42]/X (sky130_fd_sc_hd__and2b_1) + 0.10 6.67 ^ mgmt_buffers/la_buf[42]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.67 ^ mprj/la_data_in[85] (user_project_wrapper) + 6.67 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38502_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[62] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.75 ^ soc/core/_38502_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.33 6.08 ^ soc/core/_38502_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.04 6.13 v soc/core/_32232_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.22 v soc/core/output469/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 6.36 v mgmt_buffers/input477/X (sky130_fd_sc_hd__clkbuf_2) + 0.20 6.56 ^ mgmt_buffers/la_buf_enable[65]/X (sky130_fd_sc_hd__and2b_1) + 0.11 6.67 ^ mgmt_buffers/la_buf[65]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.67 ^ mprj/la_data_in[62] (user_project_wrapper) + 6.67 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38541_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[87] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.75 ^ soc/core/_38541_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.34 6.08 ^ soc/core/_38541_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.05 6.13 v soc/core/_21355_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.23 v soc/core/output442/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 6.36 v mgmt_buffers/input450/X (sky130_fd_sc_hd__buf_4) + 0.20 6.56 ^ mgmt_buffers/la_buf_enable[40]/X (sky130_fd_sc_hd__and2b_1) + 0.10 6.66 ^ mgmt_buffers/la_buf[40]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.66 ^ mprj/la_data_in[87] (user_project_wrapper) + 6.66 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38538_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[90] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.74 ^ soc/core/_38538_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.32 6.06 ^ soc/core/_38538_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.06 6.12 v soc/core/_21366_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.23 v soc/core/output438/X (sky130_fd_sc_hd__clkbuf_4) + 0.10 6.33 v mgmt_buffers/input446/X (sky130_fd_sc_hd__clkbuf_2) + 0.22 6.55 ^ mgmt_buffers/la_buf_enable[37]/X (sky130_fd_sc_hd__and2b_1) + 0.11 6.66 ^ mgmt_buffers/la_buf[37]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.66 ^ mprj/la_data_in[90] (user_project_wrapper) + 6.66 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38547_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[81] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.74 ^ soc/core/_38547_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 5.99 v soc/core/_38547_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.10 6.09 ^ soc/core/_21336_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.19 ^ soc/core/output448/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 6.35 ^ mgmt_buffers/input456/X (sky130_fd_sc_hd__buf_4) + 0.17 6.52 v mgmt_buffers/la_buf_enable[46]/X (sky130_fd_sc_hd__and2b_1) + 0.13 6.65 v mgmt_buffers/la_buf[46]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.65 v mprj/la_data_in[81] (user_project_wrapper) + 6.65 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38570_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[122] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.72 ^ soc/core/_38570_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.33 6.05 ^ soc/core/_38570_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.11 6.15 v soc/core/_21258_/Y (sky130_fd_sc_hd__clkinv_2) + 0.11 6.27 v soc/core/output463/X (sky130_fd_sc_hd__clkbuf_4) + 0.11 6.37 v mgmt_buffers/input471/X (sky130_fd_sc_hd__buf_2) + 0.18 6.55 ^ mgmt_buffers/la_buf_enable[5]/X (sky130_fd_sc_hd__and2b_1) + 0.10 6.65 ^ mgmt_buffers/la_buf[5]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.65 ^ mprj/la_data_in[122] (user_project_wrapper) + 6.65 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38514_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[50] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.76 ^ soc/core/_38514_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.33 6.09 ^ soc/core/_38514_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.03 6.11 v soc/core/_32244_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.20 v soc/core/output482/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.34 v mgmt_buffers/input490/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 6.54 ^ mgmt_buffers/la_buf_enable[77]/X (sky130_fd_sc_hd__and2b_1) + 0.11 6.64 ^ mgmt_buffers/la_buf[77]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.64 ^ mprj/la_data_in[50] (user_project_wrapper) + 6.64 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38548_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[80] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.75 ^ soc/core/_38548_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.24 5.99 v soc/core/_38548_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.10 6.09 ^ soc/core/_21331_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.19 ^ soc/core/output449/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 6.35 ^ mgmt_buffers/input457/X (sky130_fd_sc_hd__buf_4) + 0.17 6.51 v mgmt_buffers/la_buf_enable[47]/X (sky130_fd_sc_hd__and2b_1) + 0.13 6.64 v mgmt_buffers/la_buf[47]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.64 v mprj/la_data_in[80] (user_project_wrapper) + 6.64 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38537_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[91] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.74 ^ soc/core/_38537_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.28 6.02 v soc/core/_38537_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.11 6.13 ^ soc/core/_21369_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.23 ^ soc/core/output437/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 6.36 ^ mgmt_buffers/input445/X (sky130_fd_sc_hd__clkbuf_2) + 0.15 6.51 v mgmt_buffers/la_buf_enable[36]/X (sky130_fd_sc_hd__and2b_1) + 0.13 6.64 v mgmt_buffers/la_buf[36]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.64 v mprj/la_data_in[91] (user_project_wrapper) + 6.64 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38554_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[74] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.74 ^ soc/core/_38554_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 5.99 v soc/core/_38554_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.09 6.07 ^ soc/core/_21312_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.16 ^ soc/core/output456/X (sky130_fd_sc_hd__clkbuf_4) + 0.18 6.34 ^ mgmt_buffers/input464/X (sky130_fd_sc_hd__buf_2) + 0.17 6.51 v mgmt_buffers/la_buf_enable[53]/X (sky130_fd_sc_hd__and2b_1) + 0.13 6.64 v mgmt_buffers/la_buf[53]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.64 v mprj/la_data_in[74] (user_project_wrapper) + 6.64 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38552_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[76] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.74 ^ soc/core/_38552_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.25 6.00 v soc/core/_38552_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.07 6.07 ^ soc/core/_21318_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.16 ^ soc/core/output454/X (sky130_fd_sc_hd__clkbuf_4) + 0.18 6.34 ^ mgmt_buffers/input462/X (sky130_fd_sc_hd__buf_2) + 0.17 6.50 v mgmt_buffers/la_buf_enable[51]/X (sky130_fd_sc_hd__and2b_1) + 0.13 6.63 v mgmt_buffers/la_buf[51]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.63 v mprj/la_data_in[76] (user_project_wrapper) + 6.63 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38553_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[75] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.74 ^ soc/core/_38553_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.31 6.04 ^ soc/core/_38553_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.06 6.10 v soc/core/_21315_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.21 v soc/core/output455/X (sky130_fd_sc_hd__clkbuf_4) + 0.12 6.33 v mgmt_buffers/input463/X (sky130_fd_sc_hd__clkbuf_2) + 0.20 6.53 ^ mgmt_buffers/la_buf_enable[52]/X (sky130_fd_sc_hd__and2b_1) + 0.11 6.63 ^ mgmt_buffers/la_buf[52]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.63 ^ mprj/la_data_in[75] (user_project_wrapper) + 6.63 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38563_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[65] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.75 ^ soc/core/_38563_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 6.00 ^ soc/core/_38563_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.10 6.10 v soc/core/_21282_/Y (sky130_fd_sc_hd__clkinv_2) + 0.11 6.21 v soc/core/output466/X (sky130_fd_sc_hd__clkbuf_4) + 0.12 6.33 v mgmt_buffers/input474/X (sky130_fd_sc_hd__buf_2) + 0.19 6.52 ^ mgmt_buffers/la_buf_enable[62]/X (sky130_fd_sc_hd__and2b_1) + 0.11 6.63 ^ mgmt_buffers/la_buf[62]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.63 ^ mprj/la_data_in[65] (user_project_wrapper) + 6.63 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38535_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[93] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.74 ^ soc/core/_38535_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.28 6.02 v soc/core/_38535_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.10 6.11 ^ soc/core/_21375_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.21 ^ soc/core/output435/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 6.34 ^ mgmt_buffers/input443/X (sky130_fd_sc_hd__clkbuf_2) + 0.16 6.50 v mgmt_buffers/la_buf_enable[34]/X (sky130_fd_sc_hd__and2b_1) + 0.13 6.63 v mgmt_buffers/la_buf[34]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.63 v mprj/la_data_in[93] (user_project_wrapper) + 6.63 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38564_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[64] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.75 ^ soc/core/_38564_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.24 5.99 v soc/core/_38564_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.10 6.09 ^ soc/core/_21276_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.20 ^ soc/core/output467/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.33 ^ mgmt_buffers/input475/X (sky130_fd_sc_hd__clkbuf_2) + 0.16 6.50 v mgmt_buffers/la_buf_enable[63]/X (sky130_fd_sc_hd__and2b_1) + 0.13 6.63 v mgmt_buffers/la_buf[63]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.63 v mprj/la_data_in[64] (user_project_wrapper) + 6.63 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38592_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[100] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.74 ^ soc/core/_38592_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.28 6.01 ^ soc/core/_38592_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.10 6.12 v soc/core/_21183_/Y (sky130_fd_sc_hd__clkinv_2) + 0.11 6.23 v soc/core/output427/X (sky130_fd_sc_hd__clkbuf_4) + 0.11 6.34 v mgmt_buffers/input435/X (sky130_fd_sc_hd__buf_2) + 0.18 6.52 ^ mgmt_buffers/la_buf_enable[27]/X (sky130_fd_sc_hd__and2b_1) + 0.10 6.63 ^ mgmt_buffers/la_buf[27]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.63 ^ mprj/la_data_in[100] (user_project_wrapper) + 6.63 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38567_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[125] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.75 ^ soc/core/_38567_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.30 6.05 ^ soc/core/_38567_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.08 6.13 v soc/core/_21267_/Y (sky130_fd_sc_hd__clkinv_2) + 0.10 6.23 v soc/core/output430/X (sky130_fd_sc_hd__clkbuf_4) + 0.11 6.34 v mgmt_buffers/input438/X (sky130_fd_sc_hd__buf_2) + 0.18 6.52 ^ mgmt_buffers/la_buf_enable[2]/X (sky130_fd_sc_hd__and2b_1) + 0.10 6.63 ^ mgmt_buffers/la_buf[2]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.63 ^ mprj/la_data_in[125] (user_project_wrapper) + 6.63 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38536_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[92] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.74 ^ soc/core/_38536_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.33 6.07 ^ soc/core/_38536_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.05 6.12 v soc/core/_21372_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.22 v soc/core/output436/X (sky130_fd_sc_hd__clkbuf_4) + 0.11 6.33 v mgmt_buffers/input444/X (sky130_fd_sc_hd__clkbuf_2) + 0.19 6.52 ^ mgmt_buffers/la_buf_enable[35]/X (sky130_fd_sc_hd__and2b_1) + 0.10 6.63 ^ mgmt_buffers/la_buf[35]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.63 ^ mprj/la_data_in[92] (user_project_wrapper) + 6.63 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38545_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[83] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.75 ^ soc/core/_38545_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 6.00 v soc/core/_38545_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.10 6.10 ^ soc/core/_21342_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.20 ^ soc/core/output446/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.34 ^ mgmt_buffers/input454/X (sky130_fd_sc_hd__buf_2) + 0.16 6.49 v mgmt_buffers/la_buf_enable[44]/X (sky130_fd_sc_hd__and2b_1) + 0.13 6.62 v mgmt_buffers/la_buf[44]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.62 v mprj/la_data_in[83] (user_project_wrapper) + 6.62 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38503_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[61] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.75 ^ soc/core/_38503_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.29 6.04 ^ soc/core/_38503_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.04 6.09 v soc/core/_32233_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.18 v soc/core/output470/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 6.31 v mgmt_buffers/input478/X (sky130_fd_sc_hd__buf_4) + 0.20 6.51 ^ mgmt_buffers/la_buf_enable[66]/X (sky130_fd_sc_hd__and2b_1) + 0.11 6.62 ^ mgmt_buffers/la_buf[66]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.62 ^ mprj/la_data_in[61] (user_project_wrapper) + 6.62 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38504_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[60] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.73 ^ soc/core/_38504_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.33 6.06 ^ soc/core/_38504_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.04 6.10 v soc/core/_32234_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.20 v soc/core/output471/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 6.33 v mgmt_buffers/input479/X (sky130_fd_sc_hd__buf_4) + 0.18 6.52 ^ mgmt_buffers/la_buf_enable[67]/X (sky130_fd_sc_hd__and2b_1) + 0.10 6.62 ^ mgmt_buffers/la_buf[67]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.62 ^ mprj/la_data_in[60] (user_project_wrapper) + 6.62 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38559_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[69] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.70 ^ soc/core/_38559_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.23 5.94 v soc/core/_38559_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.10 6.03 ^ soc/core/_21294_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.13 ^ soc/core/output461/X (sky130_fd_sc_hd__clkbuf_4) + 0.19 6.32 ^ mgmt_buffers/input469/X (sky130_fd_sc_hd__buf_2) + 0.17 6.49 v mgmt_buffers/la_buf_enable[58]/X (sky130_fd_sc_hd__and2b_1) + 0.13 6.62 v mgmt_buffers/la_buf[58]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.62 v mprj/la_data_in[69] (user_project_wrapper) + 6.62 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38542_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[86] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.75 ^ soc/core/_38542_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.31 6.06 ^ soc/core/_38542_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.05 6.11 v soc/core/_21352_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.21 v soc/core/output443/X (sky130_fd_sc_hd__clkbuf_4) + 0.11 6.32 v mgmt_buffers/input451/X (sky130_fd_sc_hd__clkbuf_2) + 0.19 6.51 ^ mgmt_buffers/la_buf_enable[41]/X (sky130_fd_sc_hd__and2b_1) + 0.10 6.62 ^ mgmt_buffers/la_buf[41]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.62 ^ mprj/la_data_in[86] (user_project_wrapper) + 6.62 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38501_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[63] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.73 ^ soc/core/_38501_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.33 6.06 ^ soc/core/_38501_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.04 6.10 v soc/core/_32231_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.20 v soc/core/output468/X (sky130_fd_sc_hd__clkbuf_4) + 0.12 6.32 v mgmt_buffers/input476/X (sky130_fd_sc_hd__buf_2) + 0.19 6.51 ^ mgmt_buffers/la_buf_enable[64]/X (sky130_fd_sc_hd__and2b_1) + 0.11 6.61 ^ mgmt_buffers/la_buf[64]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.61 ^ mprj/la_data_in[63] (user_project_wrapper) + 6.61 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38593_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[99] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.71 ^ soc/core/_38593_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.24 5.95 v soc/core/_38593_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.10 6.05 ^ soc/core/_21180_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.15 ^ soc/core/output428/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 6.31 ^ mgmt_buffers/input436/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 6.48 v mgmt_buffers/la_buf_enable[28]/X (sky130_fd_sc_hd__and2b_1) + 0.13 6.61 v mgmt_buffers/la_buf[28]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.61 v mprj/la_data_in[99] (user_project_wrapper) + 6.61 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38539_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[89] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.74 ^ soc/core/_38539_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.32 6.06 ^ soc/core/_38539_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.05 6.11 v soc/core/_21363_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.21 v soc/core/output439/X (sky130_fd_sc_hd__clkbuf_4) + 0.10 6.31 v mgmt_buffers/input447/X (sky130_fd_sc_hd__clkbuf_2) + 0.19 6.50 ^ mgmt_buffers/la_buf_enable[38]/X (sky130_fd_sc_hd__and2b_1) + 0.11 6.61 ^ mgmt_buffers/la_buf[38]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.61 ^ mprj/la_data_in[89] (user_project_wrapper) + 6.61 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38558_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[70] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.75 ^ soc/core/_38558_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.30 6.05 ^ soc/core/_38558_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.06 6.11 v soc/core/_21298_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.21 v soc/core/output460/X (sky130_fd_sc_hd__clkbuf_4) + 0.11 6.32 v mgmt_buffers/input468/X (sky130_fd_sc_hd__clkbuf_2) + 0.18 6.50 ^ mgmt_buffers/la_buf_enable[57]/X (sky130_fd_sc_hd__and2b_1) + 0.10 6.61 ^ mgmt_buffers/la_buf[57]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.61 ^ mprj/la_data_in[70] (user_project_wrapper) + 6.61 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38595_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[97] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.74 ^ soc/core/_38595_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.24 5.97 v soc/core/_38595_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.09 6.06 ^ soc/core/_21174_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.15 ^ soc/core/output431/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 6.31 ^ mgmt_buffers/input439/X (sky130_fd_sc_hd__buf_2) + 0.16 6.47 v mgmt_buffers/la_buf_enable[30]/X (sky130_fd_sc_hd__and2b_1) + 0.13 6.60 v mgmt_buffers/la_buf[30]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.60 v mprj/la_data_in[97] (user_project_wrapper) + 6.60 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38505_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[59] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.75 ^ soc/core/_38505_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.29 6.04 ^ soc/core/_38505_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.04 6.09 v soc/core/_32235_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.18 v soc/core/output472/X (sky130_fd_sc_hd__clkbuf_4) + 0.12 6.30 v mgmt_buffers/input480/X (sky130_fd_sc_hd__clkbuf_2) + 0.20 6.50 ^ mgmt_buffers/la_buf_enable[68]/X (sky130_fd_sc_hd__and2b_1) + 0.11 6.60 ^ mgmt_buffers/la_buf[68]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.60 ^ mprj/la_data_in[59] (user_project_wrapper) + 6.60 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38581_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[111] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.71 ^ soc/core/_38581_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.27 5.98 ^ soc/core/_38581_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.10 6.08 v soc/core/_21219_/Y (sky130_fd_sc_hd__clkinv_2) + 0.12 6.20 v soc/core/output415/X (sky130_fd_sc_hd__clkbuf_4) + 0.12 6.31 v mgmt_buffers/input423/X (sky130_fd_sc_hd__clkbuf_2) + 0.19 6.50 ^ mgmt_buffers/la_buf_enable[16]/X (sky130_fd_sc_hd__and2b_1) + 0.10 6.60 ^ mgmt_buffers/la_buf[16]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.60 ^ mprj/la_data_in[111] (user_project_wrapper) + 6.60 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38571_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[121] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.72 ^ soc/core/_38571_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.33 6.05 ^ soc/core/_38571_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.05 6.10 v soc/core/_21255_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.20 v soc/core/output474/X (sky130_fd_sc_hd__clkbuf_4) + 0.11 6.31 v mgmt_buffers/input482/X (sky130_fd_sc_hd__clkbuf_2) + 0.18 6.49 ^ mgmt_buffers/la_buf_enable[6]/X (sky130_fd_sc_hd__and2b_1) + 0.10 6.60 ^ mgmt_buffers/la_buf[6]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.60 ^ mprj/la_data_in[121] (user_project_wrapper) + 6.60 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38573_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[119] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.71 ^ soc/core/_38573_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 5.96 v soc/core/_38573_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.13 6.09 ^ soc/core/_21246_/Y (sky130_fd_sc_hd__inv_2) + 0.11 6.20 ^ soc/core/output496/X (sky130_fd_sc_hd__clkbuf_4) + 0.11 6.32 ^ mgmt_buffers/input504/X (sky130_fd_sc_hd__buf_2) + 0.15 6.47 v mgmt_buffers/la_buf_enable[8]/X (sky130_fd_sc_hd__and2b_1) + 0.13 6.60 v mgmt_buffers/la_buf[8]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.60 v mprj/la_data_in[119] (user_project_wrapper) + 6.60 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38574_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[118] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.71 ^ soc/core/_38574_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.24 5.96 v soc/core/_38574_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.13 6.08 ^ soc/core/_21243_/Y (sky130_fd_sc_hd__inv_2) + 0.11 6.20 ^ soc/core/output507/X (sky130_fd_sc_hd__clkbuf_4) + 0.11 6.30 ^ mgmt_buffers/input515/X (sky130_fd_sc_hd__clkbuf_2) + 0.15 6.46 v mgmt_buffers/la_buf_enable[9]/X (sky130_fd_sc_hd__and2b_1) + 0.13 6.59 v mgmt_buffers/la_buf[9]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.59 v mprj/la_data_in[118] (user_project_wrapper) + 6.59 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38589_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[103] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.71 ^ soc/core/_38589_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.24 5.95 v soc/core/_38589_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.09 6.04 ^ soc/core/_21192_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.14 ^ soc/core/output424/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 6.29 ^ mgmt_buffers/input432/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 6.46 v mgmt_buffers/la_buf_enable[24]/X (sky130_fd_sc_hd__and2b_1) + 0.13 6.59 v mgmt_buffers/la_buf[24]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.59 v mprj/la_data_in[103] (user_project_wrapper) + 6.59 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38590_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[102] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.71 ^ soc/core/_38590_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.24 5.96 v soc/core/_38590_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.08 6.04 ^ soc/core/_21189_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.13 ^ soc/core/output425/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 6.29 ^ mgmt_buffers/input433/X (sky130_fd_sc_hd__buf_2) + 0.16 6.45 v mgmt_buffers/la_buf_enable[25]/X (sky130_fd_sc_hd__and2b_1) + 0.13 6.59 v mgmt_buffers/la_buf[25]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.59 v mprj/la_data_in[102] (user_project_wrapper) + 6.59 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38556_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[72] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.74 ^ soc/core/_38556_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 5.99 v soc/core/_38556_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.08 6.07 ^ soc/core/_21304_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.16 ^ soc/core/output458/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.30 ^ mgmt_buffers/input466/X (sky130_fd_sc_hd__buf_2) + 0.15 6.45 v mgmt_buffers/la_buf_enable[55]/X (sky130_fd_sc_hd__and2b_1) + 0.13 6.58 v mgmt_buffers/la_buf[55]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.58 v mprj/la_data_in[72] (user_project_wrapper) + 6.58 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38506_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[58] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.73 ^ soc/core/_38506_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.30 6.04 ^ soc/core/_38506_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.04 6.07 v soc/core/_32236_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.17 v soc/core/output473/X (sky130_fd_sc_hd__clkbuf_4) + 0.12 6.29 v mgmt_buffers/input481/X (sky130_fd_sc_hd__clkbuf_2) + 0.19 6.48 ^ mgmt_buffers/la_buf_enable[69]/X (sky130_fd_sc_hd__and2b_1) + 0.10 6.58 ^ mgmt_buffers/la_buf[69]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.58 ^ mprj/la_data_in[58] (user_project_wrapper) + 6.58 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38550_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[78] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.74 ^ soc/core/_38550_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.27 6.00 ^ soc/core/_38550_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.04 6.04 v soc/core/_21325_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.13 v soc/core/output451/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.28 v mgmt_buffers/input459/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 6.48 ^ mgmt_buffers/la_buf_enable[49]/X (sky130_fd_sc_hd__and2b_1) + 0.10 6.58 ^ mgmt_buffers/la_buf[49]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.58 ^ mprj/la_data_in[78] (user_project_wrapper) + 6.58 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38596_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[96] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.74 ^ soc/core/_38596_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.24 5.97 v soc/core/_38596_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.08 6.05 ^ soc/core/_21166_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.14 ^ soc/core/output432/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.28 ^ mgmt_buffers/input440/X (sky130_fd_sc_hd__buf_2) + 0.16 6.44 v mgmt_buffers/la_buf_enable[31]/X (sky130_fd_sc_hd__and2b_1) + 0.13 6.58 v mgmt_buffers/la_buf[31]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.58 v mprj/la_data_in[96] (user_project_wrapper) + 6.58 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38586_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[106] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.71 ^ soc/core/_38586_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 5.96 v soc/core/_38586_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.08 6.05 ^ soc/core/_21204_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.13 ^ soc/core/output421/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 6.28 ^ mgmt_buffers/input429/X (sky130_fd_sc_hd__buf_2) + 0.16 6.44 v mgmt_buffers/la_buf_enable[21]/X (sky130_fd_sc_hd__and2b_1) + 0.13 6.57 v mgmt_buffers/la_buf[21]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.57 v mprj/la_data_in[106] (user_project_wrapper) + 6.57 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38572_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[120] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.72 ^ soc/core/_38572_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.31 6.04 ^ soc/core/_38572_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.05 6.08 v soc/core/_21249_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.18 v soc/core/output485/X (sky130_fd_sc_hd__clkbuf_4) + 0.11 6.29 v mgmt_buffers/input493/X (sky130_fd_sc_hd__buf_2) + 0.18 6.47 ^ mgmt_buffers/la_buf_enable[7]/X (sky130_fd_sc_hd__and2b_1) + 0.10 6.57 ^ mgmt_buffers/la_buf[7]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.57 ^ mprj/la_data_in[120] (user_project_wrapper) + 6.57 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38549_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[79] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.73 ^ soc/core/_38549_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.23 5.97 v soc/core/_38549_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.07 6.04 ^ soc/core/_21328_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.12 ^ soc/core/output450/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 6.28 ^ mgmt_buffers/input458/X (sky130_fd_sc_hd__buf_2) + 0.16 6.44 v mgmt_buffers/la_buf_enable[48]/X (sky130_fd_sc_hd__and2b_1) + 0.13 6.57 v mgmt_buffers/la_buf[48]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.57 v mprj/la_data_in[79] (user_project_wrapper) + 6.57 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38591_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[101] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.74 ^ soc/core/_38591_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.29 6.03 ^ soc/core/_38591_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.06 6.09 v soc/core/_21186_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.19 v soc/core/output426/X (sky130_fd_sc_hd__clkbuf_4) + 0.10 6.28 v mgmt_buffers/input434/X (sky130_fd_sc_hd__clkbuf_2) + 0.18 6.46 ^ mgmt_buffers/la_buf_enable[26]/X (sky130_fd_sc_hd__and2b_1) + 0.10 6.56 ^ mgmt_buffers/la_buf[26]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.56 ^ mprj/la_data_in[101] (user_project_wrapper) + 6.56 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38569_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[123] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.72 ^ soc/core/_38569_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.27 5.99 v soc/core/_38569_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.07 6.06 ^ soc/core/_21261_/Y (sky130_fd_sc_hd__inv_2) + 0.08 6.15 ^ soc/core/output452/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 6.28 ^ mgmt_buffers/input460/X (sky130_fd_sc_hd__buf_2) + 0.15 6.43 v mgmt_buffers/la_buf_enable[4]/X (sky130_fd_sc_hd__and2b_1) + 0.13 6.56 v mgmt_buffers/la_buf[4]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.56 v mprj/la_data_in[123] (user_project_wrapper) + 6.56 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38580_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[112] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.72 ^ soc/core/_38580_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.27 5.99 v soc/core/_38580_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.07 6.06 ^ soc/core/_21222_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.15 ^ soc/core/output414/X (sky130_fd_sc_hd__clkbuf_4) + 0.12 6.27 ^ mgmt_buffers/input422/X (sky130_fd_sc_hd__clkbuf_2) + 0.16 6.43 v mgmt_buffers/la_buf_enable[15]/X (sky130_fd_sc_hd__and2b_1) + 0.13 6.56 v mgmt_buffers/la_buf[15]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.56 v mprj/la_data_in[112] (user_project_wrapper) + 6.56 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38576_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[116] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.72 ^ soc/core/_38576_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.29 6.01 ^ soc/core/_38576_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.05 6.06 v soc/core/_21237_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.16 v soc/core/output402/X (sky130_fd_sc_hd__clkbuf_4) + 0.11 6.27 v mgmt_buffers/input410/X (sky130_fd_sc_hd__clkbuf_2) + 0.19 6.45 ^ mgmt_buffers/la_buf_enable[11]/X (sky130_fd_sc_hd__and2b_1) + 0.10 6.56 ^ mgmt_buffers/la_buf[11]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.56 ^ mprj/la_data_in[116] (user_project_wrapper) + 6.56 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38544_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[84] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.72 ^ soc/core/_38544_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.23 5.95 v soc/core/_38544_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.07 6.02 ^ soc/core/_21345_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.11 ^ soc/core/output445/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 6.26 ^ mgmt_buffers/input453/X (sky130_fd_sc_hd__buf_4) + 0.16 6.42 v mgmt_buffers/la_buf_enable[43]/X (sky130_fd_sc_hd__and2b_1) + 0.13 6.56 v mgmt_buffers/la_buf[43]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.56 v mprj/la_data_in[84] (user_project_wrapper) + 6.56 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38577_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[115] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.71 ^ soc/core/_38577_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.25 5.96 v soc/core/_38577_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.08 6.04 ^ soc/core/_21234_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.13 ^ soc/core/output411/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 6.26 ^ mgmt_buffers/input419/X (sky130_fd_sc_hd__buf_2) + 0.16 6.42 v mgmt_buffers/la_buf_enable[12]/X (sky130_fd_sc_hd__and2b_1) + 0.13 6.55 v mgmt_buffers/la_buf[12]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.55 v mprj/la_data_in[115] (user_project_wrapper) + 6.55 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38594_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[98] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.71 ^ soc/core/_38594_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.24 5.95 v soc/core/_38594_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.07 6.02 ^ soc/core/_21177_/Y (sky130_fd_sc_hd__inv_2) + 0.08 6.10 ^ soc/core/output429/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 6.26 ^ mgmt_buffers/input437/X (sky130_fd_sc_hd__buf_2) + 0.16 6.42 v mgmt_buffers/la_buf_enable[29]/X (sky130_fd_sc_hd__and2b_1) + 0.13 6.55 v mgmt_buffers/la_buf[29]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.55 v mprj/la_data_in[98] (user_project_wrapper) + 6.55 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38575_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[117] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.72 ^ soc/core/_38575_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 5.98 v soc/core/_38575_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.08 6.06 ^ soc/core/_21240_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.15 ^ soc/core/output391/X (sky130_fd_sc_hd__clkbuf_4) + 0.12 6.27 ^ mgmt_buffers/input399/X (sky130_fd_sc_hd__clkbuf_2) + 0.15 6.42 v mgmt_buffers/la_buf_enable[10]/X (sky130_fd_sc_hd__and2b_1) + 0.13 6.55 v mgmt_buffers/la_buf[10]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.55 v mprj/la_data_in[117] (user_project_wrapper) + 6.55 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38582_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[110] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.71 ^ soc/core/_38582_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.25 5.96 v soc/core/_38582_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.07 6.03 ^ soc/core/_21216_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.12 ^ soc/core/output416/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.26 ^ mgmt_buffers/input424/X (sky130_fd_sc_hd__buf_2) + 0.16 6.42 v mgmt_buffers/la_buf_enable[17]/X (sky130_fd_sc_hd__and2b_1) + 0.13 6.55 v mgmt_buffers/la_buf[17]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.55 v mprj/la_data_in[110] (user_project_wrapper) + 6.55 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38583_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[109] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.70 ^ soc/core/_38583_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.29 5.99 ^ soc/core/_38583_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.05 6.04 v soc/core/_21213_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.13 v soc/core/output417/X (sky130_fd_sc_hd__clkbuf_4) + 0.12 6.25 v mgmt_buffers/input425/X (sky130_fd_sc_hd__clkbuf_2) + 0.19 6.44 ^ mgmt_buffers/la_buf_enable[18]/X (sky130_fd_sc_hd__and2b_1) + 0.10 6.55 ^ mgmt_buffers/la_buf[18]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.55 ^ mprj/la_data_in[109] (user_project_wrapper) + 6.55 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38579_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[113] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.71 ^ soc/core/_38579_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.27 5.98 v soc/core/_38579_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.07 6.06 ^ soc/core/_21228_/Y (sky130_fd_sc_hd__inv_2) + 0.08 6.14 ^ soc/core/output413/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 6.26 ^ mgmt_buffers/input421/X (sky130_fd_sc_hd__buf_2) + 0.15 6.42 v mgmt_buffers/la_buf_enable[14]/X (sky130_fd_sc_hd__and2b_1) + 0.13 6.55 v mgmt_buffers/la_buf[14]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.55 v mprj/la_data_in[113] (user_project_wrapper) + 6.55 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38584_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[108] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.71 ^ soc/core/_38584_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.29 6.00 ^ soc/core/_38584_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.05 6.05 v soc/core/_21210_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.14 v soc/core/output418/X (sky130_fd_sc_hd__clkbuf_4) + 0.11 6.26 v mgmt_buffers/input426/X (sky130_fd_sc_hd__clkbuf_2) + 0.19 6.44 ^ mgmt_buffers/la_buf_enable[19]/X (sky130_fd_sc_hd__and2b_1) + 0.10 6.55 ^ mgmt_buffers/la_buf[19]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.55 ^ mprj/la_data_in[108] (user_project_wrapper) + 6.55 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38568_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[124] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.72 ^ soc/core/_38568_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.27 5.99 v soc/core/_38568_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.07 6.05 ^ soc/core/_21264_/Y (sky130_fd_sc_hd__inv_2) + 0.08 6.14 ^ soc/core/output441/X (sky130_fd_sc_hd__clkbuf_4) + 0.12 6.26 ^ mgmt_buffers/input449/X (sky130_fd_sc_hd__clkbuf_2) + 0.16 6.41 v mgmt_buffers/la_buf_enable[3]/X (sky130_fd_sc_hd__and2b_1) + 0.13 6.55 v mgmt_buffers/la_buf[3]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.55 v mprj/la_data_in[124] (user_project_wrapper) + 6.55 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38585_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[107] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.71 ^ soc/core/_38585_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.25 5.97 v soc/core/_38585_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.07 6.04 ^ soc/core/_21207_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.12 ^ soc/core/output420/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 6.25 ^ mgmt_buffers/input428/X (sky130_fd_sc_hd__clkbuf_2) + 0.16 6.41 v mgmt_buffers/la_buf_enable[20]/X (sky130_fd_sc_hd__and2b_1) + 0.13 6.54 v mgmt_buffers/la_buf[20]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.54 v mprj/la_data_in[107] (user_project_wrapper) + 6.54 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38587_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[105] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.71 ^ soc/core/_38587_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.25 5.96 v soc/core/_38587_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.07 6.03 ^ soc/core/_21201_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.11 ^ soc/core/output422/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.25 ^ mgmt_buffers/input430/X (sky130_fd_sc_hd__clkbuf_2) + 0.16 6.41 v mgmt_buffers/la_buf_enable[22]/X (sky130_fd_sc_hd__and2b_1) + 0.13 6.54 v mgmt_buffers/la_buf[22]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.54 v mprj/la_data_in[105] (user_project_wrapper) + 6.54 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38578_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[114] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.72 ^ soc/core/_38578_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.25 5.97 v soc/core/_38578_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.06 6.03 ^ soc/core/_21231_/Y (sky130_fd_sc_hd__inv_2) + 0.08 6.11 ^ soc/core/output412/X (sky130_fd_sc_hd__clkbuf_4) + 0.12 6.24 ^ mgmt_buffers/input420/X (sky130_fd_sc_hd__clkbuf_2) + 0.15 6.39 v mgmt_buffers/la_buf_enable[13]/X (sky130_fd_sc_hd__and2b_1) + 0.13 6.52 v mgmt_buffers/la_buf[13]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.52 v mprj/la_data_in[114] (user_project_wrapper) + 6.52 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38461_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[71] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.71 ^ soc/core/_38461_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.31 6.02 ^ soc/core/_38461_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.09 6.11 ^ soc/core/_32448_/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 6.20 ^ soc/core/output587/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 6.37 ^ mgmt_buffers/input211/X (sky130_fd_sc_hd__clkbuf_2) + 0.10 6.47 v mgmt_buffers/_520_/Y (sky130_fd_sc_hd__clkinv_2) + 0.05 6.52 ^ mgmt_buffers/la_buf[56]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.52 ^ mprj/la_data_in[71] (user_project_wrapper) + 6.52 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38546_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[82] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.72 ^ soc/core/_38546_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.21 5.93 v soc/core/_38546_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.06 5.99 ^ soc/core/_21339_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.08 ^ soc/core/output447/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 6.21 ^ mgmt_buffers/input455/X (sky130_fd_sc_hd__clkbuf_2) + 0.16 6.37 v mgmt_buffers/la_buf_enable[45]/X (sky130_fd_sc_hd__and2b_1) + 0.13 6.50 v mgmt_buffers/la_buf[45]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.50 v mprj/la_data_in[82] (user_project_wrapper) + 6.50 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39196_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[18] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.86 ^ soc/core/_39196_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.26 6.12 ^ soc/core/_39196_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.42 6.54 ^ soc/core/hold2666/X (sky130_fd_sc_hd__dlygate4sd3_1) + 0.04 6.58 v soc/core/_19114_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.66 v soc/core/output390/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.80 v mgmt_buffers/input398/X (sky130_fd_sc_hd__clkbuf_4) + 0.11 6.91 ^ mgmt_buffers/_372_/Y (sky130_fd_sc_hd__inv_2) + 0.02 6.93 v mgmt_buffers/user_to_mprj_oen_buffers[109]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.93 v mprj/la_oenb[18] (user_project_wrapper) + 6.93 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38560_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[68] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.75 ^ soc/core/_38560_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 6.01 ^ soc/core/_38560_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.42 6.43 ^ soc/core/hold2653/X (sky130_fd_sc_hd__dlygate4sd3_1) + 0.09 6.52 v soc/core/_21291_/Y (sky130_fd_sc_hd__clkinv_2) + 0.11 6.63 v soc/core/output462/X (sky130_fd_sc_hd__clkbuf_4) + 0.11 6.74 v mgmt_buffers/input470/X (sky130_fd_sc_hd__clkbuf_2) + 0.15 6.90 ^ mgmt_buffers/_651_/Y (sky130_fd_sc_hd__inv_2) + 0.02 6.91 v mgmt_buffers/user_to_mprj_oen_buffers[59]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.91 v mprj/la_oenb[68] (user_project_wrapper) + 6.91 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38551_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[77] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.74 ^ soc/core/_38551_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.29 6.03 ^ soc/core/_38551_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.43 6.47 ^ soc/core/hold2359/X (sky130_fd_sc_hd__dlygate4sd3_1) + 0.04 6.51 v soc/core/_21321_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.59 v soc/core/output453/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 6.73 v mgmt_buffers/input461/X (sky130_fd_sc_hd__clkbuf_2) + 0.15 6.88 ^ mgmt_buffers/_642_/Y (sky130_fd_sc_hd__inv_2) + 0.02 6.90 v mgmt_buffers/user_to_mprj_oen_buffers[50]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.90 v mprj/la_oenb[77] (user_project_wrapper) + 6.90 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38555_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[73] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.74 ^ soc/core/_38555_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.24 5.98 v soc/core/_38555_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.40 6.38 v soc/core/hold1993/X (sky130_fd_sc_hd__dlygate4sd3_1) + 0.07 6.45 ^ soc/core/_21309_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.54 ^ soc/core/output457/X (sky130_fd_sc_hd__clkbuf_4) + 0.18 6.72 ^ mgmt_buffers/input465/X (sky130_fd_sc_hd__clkbuf_2) + 0.10 6.83 v mgmt_buffers/_646_/Y (sky130_fd_sc_hd__inv_2) + 0.07 6.89 ^ mgmt_buffers/user_to_mprj_oen_buffers[54]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.89 ^ mprj/la_oenb[73] (user_project_wrapper) + 6.89 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39187_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[27] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.91 ^ soc/core/_39187_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.41 6.32 ^ soc/core/_39187_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.13 6.45 v soc/core/_19145_/Y (sky130_fd_sc_hd__clkinv_2) + 0.13 6.58 v soc/core/output381/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.72 v mgmt_buffers/input389/X (sky130_fd_sc_hd__clkbuf_4) + 0.11 6.83 ^ mgmt_buffers/_363_/Y (sky130_fd_sc_hd__inv_2) + 0.02 6.85 v mgmt_buffers/user_to_mprj_oen_buffers[100]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.85 v mprj/la_oenb[27] (user_project_wrapper) + 6.85 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39184_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[30] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.94 ^ soc/core/_39184_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.39 6.33 ^ soc/core/_39184_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.14 6.46 v soc/core/_19154_/Y (sky130_fd_sc_hd__clkinv_2) + 0.13 6.59 v soc/core/output504/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 6.72 v mgmt_buffers/input512/X (sky130_fd_sc_hd__clkbuf_4) + 0.10 6.82 ^ mgmt_buffers/_360_/Y (sky130_fd_sc_hd__inv_2) + 0.02 6.84 v mgmt_buffers/user_to_mprj_oen_buffers[97]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.84 v mprj/la_oenb[30] (user_project_wrapper) + 6.84 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38562_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[66] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.75 ^ soc/core/_38562_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.24 5.99 v soc/core/_38562_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.40 6.40 v soc/core/hold2592/X (sky130_fd_sc_hd__dlygate4sd3_1) + 0.08 6.48 ^ soc/core/_21285_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.57 ^ soc/core/output465/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 6.73 ^ mgmt_buffers/input473/X (sky130_fd_sc_hd__buf_2) + 0.05 6.78 v mgmt_buffers/_653_/Y (sky130_fd_sc_hd__inv_2) + 0.04 6.82 ^ mgmt_buffers/user_to_mprj_oen_buffers[61]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.82 ^ mprj/la_oenb[66] (user_project_wrapper) + 6.82 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39186_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[28] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.91 ^ soc/core/_39186_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.40 6.31 ^ soc/core/_39186_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.08 6.39 v soc/core/_19148_/Y (sky130_fd_sc_hd__inv_2) + 0.11 6.51 v soc/core/output506/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 6.65 v mgmt_buffers/input514/X (sky130_fd_sc_hd__clkbuf_4) + 0.11 6.77 ^ mgmt_buffers/_362_/Y (sky130_fd_sc_hd__inv_2) + 0.02 6.78 v mgmt_buffers/user_to_mprj_oen_buffers[99]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.78 v mprj/la_oenb[28] (user_project_wrapper) + 6.78 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39214_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[0] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.94 ^ soc/core/_39214_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.31 6.24 ^ soc/core/_39214_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.11 6.36 v soc/core/_19048_/Y (sky130_fd_sc_hd__clkinv_8) + 0.15 6.51 v soc/core/output410/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 6.66 v mgmt_buffers/input418/X (sky130_fd_sc_hd__clkbuf_4) + 0.11 6.76 ^ mgmt_buffers/_390_/Y (sky130_fd_sc_hd__inv_2) + 0.02 6.78 v mgmt_buffers/user_to_mprj_oen_buffers[127]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.78 v mprj/la_oenb[0] (user_project_wrapper) + 6.78 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38588_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[104] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.71 ^ soc/core/_38588_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.24 5.95 v soc/core/_38588_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.40 6.34 v soc/core/hold2529/X (sky130_fd_sc_hd__dlygate4sd3_1) + 0.07 6.42 ^ soc/core/_21195_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.51 ^ soc/core/output423/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 6.64 ^ mgmt_buffers/input431/X (sky130_fd_sc_hd__buf_2) + 0.09 6.73 v mgmt_buffers/_615_/Y (sky130_fd_sc_hd__inv_2) + 0.06 6.78 ^ mgmt_buffers/user_to_mprj_oen_buffers[23]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.78 ^ mprj/la_oenb[104] (user_project_wrapper) + 6.78 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39190_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[24] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.90 ^ soc/core/_39190_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.44 6.34 ^ soc/core/_39190_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.06 6.40 v soc/core/_19134_/Y (sky130_fd_sc_hd__inv_2) + 0.11 6.51 v soc/core/output384/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.65 v mgmt_buffers/input392/X (sky130_fd_sc_hd__clkbuf_4) + 0.11 6.76 ^ mgmt_buffers/_366_/Y (sky130_fd_sc_hd__clkinv_2) + 0.02 6.78 v mgmt_buffers/user_to_mprj_oen_buffers[103]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.78 v mprj/la_oenb[24] (user_project_wrapper) + 6.78 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39210_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[4] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.94 ^ soc/core/_39210_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.27 6.21 v soc/core/_39210_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.13 6.34 ^ soc/core/_19065_/Y (sky130_fd_sc_hd__inv_6) + 0.14 6.48 ^ soc/core/output406/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 6.63 ^ mgmt_buffers/input414/X (sky130_fd_sc_hd__clkbuf_4) + 0.10 6.73 v mgmt_buffers/_386_/Y (sky130_fd_sc_hd__clkinv_2) + 0.05 6.78 ^ mgmt_buffers/user_to_mprj_oen_buffers[123]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.78 ^ mprj/la_oenb[4] (user_project_wrapper) + 6.78 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39188_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[26] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.90 ^ soc/core/_39188_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.42 6.32 ^ soc/core/_39188_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.07 6.40 v soc/core/_19142_/Y (sky130_fd_sc_hd__inv_2) + 0.11 6.51 v soc/core/output382/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.65 v mgmt_buffers/input390/X (sky130_fd_sc_hd__clkbuf_4) + 0.10 6.75 ^ mgmt_buffers/_364_/Y (sky130_fd_sc_hd__clkinv_2) + 0.02 6.77 v mgmt_buffers/user_to_mprj_oen_buffers[101]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.77 v mprj/la_oenb[26] (user_project_wrapper) + 6.77 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39183_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[31] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.94 ^ soc/core/_39183_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.41 6.35 ^ soc/core/_39183_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.07 6.41 v soc/core/_19157_/Y (sky130_fd_sc_hd__inv_2) + 0.11 6.52 v soc/core/output503/X (sky130_fd_sc_hd__clkbuf_4) + 0.12 6.64 v mgmt_buffers/input511/X (sky130_fd_sc_hd__clkbuf_2) + 0.10 6.74 ^ mgmt_buffers/_359_/Y (sky130_fd_sc_hd__inv_2) + 0.02 6.76 v mgmt_buffers/user_to_mprj_oen_buffers[96]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.76 v mprj/la_oenb[31] (user_project_wrapper) + 6.76 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39209_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[5] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.91 ^ soc/core/_39209_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.27 6.18 v soc/core/_39209_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.13 6.31 ^ soc/core/_19068_/Y (sky130_fd_sc_hd__inv_6) + 0.15 6.46 ^ soc/core/output405/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.60 ^ mgmt_buffers/input413/X (sky130_fd_sc_hd__clkbuf_4) + 0.09 6.70 v mgmt_buffers/_385_/Y (sky130_fd_sc_hd__clkinv_2) + 0.05 6.74 ^ mgmt_buffers/user_to_mprj_oen_buffers[122]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.74 ^ mprj/la_oenb[5] (user_project_wrapper) + 6.74 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39212_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[2] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.93 ^ soc/core/_39212_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.27 6.20 v soc/core/_39212_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.08 6.28 ^ soc/core/_19058_/Y (sky130_fd_sc_hd__clkinv_8) + 0.15 6.43 ^ soc/core/output408/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 6.59 ^ mgmt_buffers/input416/X (sky130_fd_sc_hd__clkbuf_4) + 0.09 6.69 v mgmt_buffers/_388_/Y (sky130_fd_sc_hd__clkinv_2) + 0.05 6.74 ^ mgmt_buffers/user_to_mprj_oen_buffers[125]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.74 ^ mprj/la_oenb[2] (user_project_wrapper) + 6.74 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39211_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[3] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.93 ^ soc/core/_39211_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.30 6.24 ^ soc/core/_39211_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.11 6.34 v soc/core/_19062_/Y (sky130_fd_sc_hd__clkinv_8) + 0.13 6.47 v soc/core/output407/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.61 v mgmt_buffers/input415/X (sky130_fd_sc_hd__clkbuf_4) + 0.11 6.71 ^ mgmt_buffers/_387_/Y (sky130_fd_sc_hd__inv_2) + 0.02 6.73 v mgmt_buffers/user_to_mprj_oen_buffers[124]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.73 v mprj/la_oenb[3] (user_project_wrapper) + 6.73 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39213_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[1] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.94 ^ soc/core/_39213_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.29 6.23 ^ soc/core/_39213_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.10 6.33 v soc/core/_19055_/Y (sky130_fd_sc_hd__clkinv_8) + 0.13 6.46 v soc/core/output409/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 6.61 v mgmt_buffers/input417/X (sky130_fd_sc_hd__clkbuf_4) + 0.10 6.71 ^ mgmt_buffers/_389_/Y (sky130_fd_sc_hd__inv_2) + 0.02 6.73 v mgmt_buffers/user_to_mprj_oen_buffers[126]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.73 v mprj/la_oenb[1] (user_project_wrapper) + 6.73 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39189_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[25] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.90 ^ soc/core/_39189_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.37 6.27 ^ soc/core/_39189_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.06 6.33 v soc/core/_19139_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.43 v soc/core/output383/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 6.58 v mgmt_buffers/input391/X (sky130_fd_sc_hd__clkbuf_4) + 0.12 6.70 ^ mgmt_buffers/_365_/Y (sky130_fd_sc_hd__inv_2) + 0.02 6.72 v mgmt_buffers/user_to_mprj_oen_buffers[102]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.72 v mprj/la_oenb[25] (user_project_wrapper) + 6.72 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39185_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[29] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.91 ^ soc/core/_39185_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.36 6.27 ^ soc/core/_39185_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.06 6.33 v soc/core/_19151_/Y (sky130_fd_sc_hd__inv_2) + 0.11 6.44 v soc/core/output505/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.58 v mgmt_buffers/input513/X (sky130_fd_sc_hd__clkbuf_4) + 0.11 6.70 ^ mgmt_buffers/_361_/Y (sky130_fd_sc_hd__inv_2) + 0.02 6.71 v mgmt_buffers/user_to_mprj_oen_buffers[98]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.71 v mprj/la_oenb[29] (user_project_wrapper) + 6.71 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38532_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[32] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.95 ^ soc/core/_38532_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.38 6.33 ^ soc/core/_38532_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.02 6.35 v soc/core/_32262_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.44 v soc/core/output502/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 6.59 v mgmt_buffers/input510/X (sky130_fd_sc_hd__clkbuf_4) + 0.10 6.69 ^ mgmt_buffers/_358_/Y (sky130_fd_sc_hd__inv_2) + 0.02 6.71 v mgmt_buffers/user_to_mprj_oen_buffers[95]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.71 v mprj/la_oenb[32] (user_project_wrapper) + 6.71 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39208_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[6] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.91 ^ soc/core/_39208_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 6.16 v soc/core/_39208_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.13 6.29 ^ soc/core/_19071_/Y (sky130_fd_sc_hd__inv_4) + 0.13 6.42 ^ soc/core/output404/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.56 ^ mgmt_buffers/input412/X (sky130_fd_sc_hd__clkbuf_4) + 0.09 6.66 v mgmt_buffers/_384_/Y (sky130_fd_sc_hd__clkinv_2) + 0.05 6.71 ^ mgmt_buffers/user_to_mprj_oen_buffers[121]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.71 ^ mprj/la_oenb[6] (user_project_wrapper) + 6.71 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38526_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[38] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.95 ^ soc/core/_38526_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.26 6.21 v soc/core/_38526_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.07 6.28 ^ soc/core/_32256_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.37 ^ soc/core/output495/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 6.54 ^ mgmt_buffers/input503/X (sky130_fd_sc_hd__buf_4) + 0.11 6.65 v mgmt_buffers/_352_/Y (sky130_fd_sc_hd__clkinv_2) + 0.05 6.70 ^ mgmt_buffers/user_to_mprj_oen_buffers[89]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.70 ^ mprj/la_oenb[38] (user_project_wrapper) + 6.70 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38566_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[126] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.72 ^ soc/core/_38566_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.48 6.21 ^ soc/core/_38566_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.13 6.33 v soc/core/_21270_/Y (sky130_fd_sc_hd__clkinv_2) + 0.13 6.46 v soc/core/output419/X (sky130_fd_sc_hd__clkbuf_4) + 0.11 6.57 v mgmt_buffers/input427/X (sky130_fd_sc_hd__buf_2) + 0.09 6.66 ^ mgmt_buffers/_593_/Y (sky130_fd_sc_hd__clkinv_4) + 0.03 6.68 v mgmt_buffers/user_to_mprj_oen_buffers[1]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.68 v mprj/la_oenb[126] (user_project_wrapper) + 6.68 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38529_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[35] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.95 ^ soc/core/_38529_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.27 6.22 v soc/core/_38529_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.05 6.27 ^ soc/core/_32259_/Y (sky130_fd_sc_hd__inv_2) + 0.08 6.35 ^ soc/core/output499/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 6.52 ^ mgmt_buffers/input507/X (sky130_fd_sc_hd__clkbuf_4) + 0.11 6.63 v mgmt_buffers/_355_/Y (sky130_fd_sc_hd__clkinv_2) + 0.05 6.68 ^ mgmt_buffers/user_to_mprj_oen_buffers[92]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.68 ^ mprj/la_oenb[35] (user_project_wrapper) + 6.68 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38565_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[127] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.72 ^ soc/core/_38565_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.51 6.23 ^ soc/core/_38565_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.12 6.35 v soc/core/_21273_/Y (sky130_fd_sc_hd__clkinv_2) + 0.13 6.48 v soc/core/output380/X (sky130_fd_sc_hd__clkbuf_4) + 0.10 6.59 v mgmt_buffers/input388/X (sky130_fd_sc_hd__clkbuf_2) + 0.08 6.66 ^ mgmt_buffers/_592_/Y (sky130_fd_sc_hd__clkinv_2) + 0.02 6.68 v mgmt_buffers/user_to_mprj_oen_buffers[0]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.68 v mprj/la_oenb[127] (user_project_wrapper) + 6.68 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38528_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[36] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.95 ^ soc/core/_38528_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.28 6.23 v soc/core/_38528_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.05 6.28 ^ soc/core/_32258_/Y (sky130_fd_sc_hd__inv_2) + 0.08 6.36 ^ soc/core/output498/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 6.52 ^ mgmt_buffers/input506/X (sky130_fd_sc_hd__clkbuf_4) + 0.11 6.62 v mgmt_buffers/_354_/Y (sky130_fd_sc_hd__clkinv_2) + 0.05 6.67 ^ mgmt_buffers/user_to_mprj_oen_buffers[91]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.67 ^ mprj/la_oenb[36] (user_project_wrapper) + 6.67 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38531_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[33] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.95 ^ soc/core/_38531_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.30 6.24 v soc/core/_38531_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.05 6.30 ^ soc/core/_32261_/Y (sky130_fd_sc_hd__inv_2) + 0.08 6.38 ^ soc/core/output501/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 6.54 ^ mgmt_buffers/input509/X (sky130_fd_sc_hd__buf_4) + 0.08 6.62 v mgmt_buffers/_357_/Y (sky130_fd_sc_hd__inv_2) + 0.05 6.67 ^ mgmt_buffers/user_to_mprj_oen_buffers[94]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.67 ^ mprj/la_oenb[33] (user_project_wrapper) + 6.67 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38527_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[37] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.95 ^ soc/core/_38527_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.27 6.22 v soc/core/_38527_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.05 6.28 ^ soc/core/_32257_/Y (sky130_fd_sc_hd__inv_2) + 0.08 6.35 ^ soc/core/output497/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 6.51 ^ mgmt_buffers/input505/X (sky130_fd_sc_hd__clkbuf_4) + 0.10 6.61 v mgmt_buffers/_353_/Y (sky130_fd_sc_hd__clkinv_2) + 0.05 6.66 ^ mgmt_buffers/user_to_mprj_oen_buffers[90]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.66 ^ mprj/la_oenb[37] (user_project_wrapper) + 6.66 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39207_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[7] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.91 ^ soc/core/_39207_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 6.16 v soc/core/_39207_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.13 6.29 ^ soc/core/_19075_/Y (sky130_fd_sc_hd__inv_4) + 0.14 6.42 ^ soc/core/output403/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 6.56 ^ mgmt_buffers/input411/X (sky130_fd_sc_hd__clkbuf_2) + 0.06 6.61 v mgmt_buffers/_383_/Y (sky130_fd_sc_hd__inv_2) + 0.04 6.66 ^ mgmt_buffers/user_to_mprj_oen_buffers[120]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.66 ^ mprj/la_oenb[7] (user_project_wrapper) + 6.66 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38530_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[34] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.95 ^ soc/core/_38530_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.33 6.28 ^ soc/core/_38530_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.02 6.31 v soc/core/_32260_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.39 v soc/core/output500/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 6.52 v mgmt_buffers/input508/X (sky130_fd_sc_hd__buf_2) + 0.10 6.63 ^ mgmt_buffers/_356_/Y (sky130_fd_sc_hd__inv_2) + 0.02 6.65 v mgmt_buffers/user_to_mprj_oen_buffers[93]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.65 v mprj/la_oenb[34] (user_project_wrapper) + 6.65 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39205_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[9] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.88 ^ soc/core/_39205_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.29 6.17 ^ soc/core/_39205_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.05 6.22 v soc/core/_19083_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.31 v soc/core/output400/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.46 v mgmt_buffers/input408/X (sky130_fd_sc_hd__clkbuf_4) + 0.11 6.57 ^ mgmt_buffers/_381_/Y (sky130_fd_sc_hd__inv_2) + 0.02 6.59 v mgmt_buffers/user_to_mprj_oen_buffers[118]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.59 v mprj/la_oenb[9] (user_project_wrapper) + 6.59 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38534_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[94] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.74 ^ soc/core/_38534_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.32 6.06 v soc/core/_38534_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.12 6.18 ^ soc/core/_21378_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.28 ^ soc/core/output434/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.41 ^ mgmt_buffers/input442/X (sky130_fd_sc_hd__buf_2) + 0.11 6.52 v mgmt_buffers/_625_/Y (sky130_fd_sc_hd__clkinv_4) + 0.07 6.59 ^ mgmt_buffers/user_to_mprj_oen_buffers[33]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.59 ^ mprj/la_oenb[94] (user_project_wrapper) + 6.59 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38524_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[40] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.92 ^ soc/core/_38524_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.28 6.20 ^ soc/core/_38524_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.02 6.22 v soc/core/_32254_/Y (sky130_fd_sc_hd__inv_2) + 0.08 6.30 v soc/core/output493/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 6.46 v mgmt_buffers/input501/X (sky130_fd_sc_hd__clkbuf_4) + 0.11 6.57 ^ mgmt_buffers/_350_/Y (sky130_fd_sc_hd__inv_2) + 0.02 6.58 v mgmt_buffers/user_to_mprj_oen_buffers[87]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.58 v mprj/la_oenb[40] (user_project_wrapper) + 6.58 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39203_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[11] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.88 ^ soc/core/_39203_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.24 6.12 v soc/core/_39203_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.06 6.18 ^ soc/core/_19090_/Y (sky130_fd_sc_hd__inv_2) + 0.08 6.26 ^ soc/core/output398/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 6.42 ^ mgmt_buffers/input406/X (sky130_fd_sc_hd__clkbuf_4) + 0.10 6.53 v mgmt_buffers/_379_/Y (sky130_fd_sc_hd__clkinv_2) + 0.05 6.58 ^ mgmt_buffers/user_to_mprj_oen_buffers[116]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.58 ^ mprj/la_oenb[11] (user_project_wrapper) + 6.58 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39192_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[22] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.86 ^ soc/core/_39192_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.31 6.17 ^ soc/core/_39192_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.05 6.22 v soc/core/_19127_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.32 v soc/core/output386/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 6.45 v mgmt_buffers/input394/X (sky130_fd_sc_hd__buf_4) + 0.11 6.55 ^ mgmt_buffers/_368_/Y (sky130_fd_sc_hd__inv_2) + 0.02 6.57 v mgmt_buffers/user_to_mprj_oen_buffers[105]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.57 v mprj/la_oenb[22] (user_project_wrapper) + 6.57 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39206_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[8] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.86 ^ soc/core/_39206_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.27 6.13 ^ soc/core/_39206_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.09 6.22 v soc/core/_19078_/Y (sky130_fd_sc_hd__clkinv_2) + 0.11 6.33 v soc/core/output401/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 6.46 v mgmt_buffers/input409/X (sky130_fd_sc_hd__clkbuf_4) + 0.09 6.55 ^ mgmt_buffers/_382_/Y (sky130_fd_sc_hd__clkinv_2) + 0.02 6.57 v mgmt_buffers/user_to_mprj_oen_buffers[119]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.57 v mprj/la_oenb[8] (user_project_wrapper) + 6.57 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38523_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[41] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.92 ^ soc/core/_38523_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.28 6.20 ^ soc/core/_38523_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.02 6.22 v soc/core/_32253_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.31 v soc/core/output492/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 6.44 v mgmt_buffers/input500/X (sky130_fd_sc_hd__buf_4) + 0.11 6.55 ^ mgmt_buffers/_349_/Y (sky130_fd_sc_hd__inv_2) + 0.02 6.57 v mgmt_buffers/user_to_mprj_oen_buffers[86]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.57 v mprj/la_oenb[41] (user_project_wrapper) + 6.57 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38522_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[42] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.92 ^ soc/core/_38522_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.28 6.20 ^ soc/core/_38522_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.03 6.23 v soc/core/_32252_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.32 v soc/core/output491/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 6.45 v mgmt_buffers/input499/X (sky130_fd_sc_hd__buf_4) + 0.11 6.55 ^ mgmt_buffers/_348_/Y (sky130_fd_sc_hd__inv_2) + 0.02 6.57 v mgmt_buffers/user_to_mprj_oen_buffers[85]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.57 v mprj/la_oenb[42] (user_project_wrapper) + 6.57 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38525_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[39] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.92 ^ soc/core/_38525_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.27 6.19 ^ soc/core/_38525_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.03 6.22 v soc/core/_32255_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.31 v soc/core/output494/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 6.44 v mgmt_buffers/input502/X (sky130_fd_sc_hd__buf_4) + 0.11 6.55 ^ mgmt_buffers/_351_/Y (sky130_fd_sc_hd__inv_2) + 0.02 6.56 v mgmt_buffers/user_to_mprj_oen_buffers[88]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.56 v mprj/la_oenb[39] (user_project_wrapper) + 6.56 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39204_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[10] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.88 ^ soc/core/_39204_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.28 6.16 ^ soc/core/_39204_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.04 6.20 v soc/core/_19086_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.29 v soc/core/output399/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.43 v mgmt_buffers/input407/X (sky130_fd_sc_hd__clkbuf_4) + 0.11 6.54 ^ mgmt_buffers/_380_/Y (sky130_fd_sc_hd__inv_2) + 0.02 6.56 v mgmt_buffers/user_to_mprj_oen_buffers[117]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.56 v mprj/la_oenb[10] (user_project_wrapper) + 6.56 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39193_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[21] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.86 ^ soc/core/_39193_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.24 6.10 v soc/core/_39193_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.07 6.17 ^ soc/core/_19124_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.26 ^ soc/core/output387/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 6.41 ^ mgmt_buffers/input395/X (sky130_fd_sc_hd__buf_2) + 0.10 6.51 v mgmt_buffers/_369_/Y (sky130_fd_sc_hd__clkinv_2) + 0.05 6.56 ^ mgmt_buffers/user_to_mprj_oen_buffers[106]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.56 ^ mprj/la_oenb[21] (user_project_wrapper) + 6.56 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38511_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[53] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.76 ^ soc/core/_38511_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 6.02 v soc/core/_38511_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.10 6.12 ^ soc/core/_32241_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.22 ^ soc/core/output479/X (sky130_fd_sc_hd__clkbuf_4) + 0.18 6.40 ^ mgmt_buffers/input487/X (sky130_fd_sc_hd__buf_2) + 0.10 6.50 v mgmt_buffers/_337_/Y (sky130_fd_sc_hd__clkinv_2) + 0.05 6.56 ^ mgmt_buffers/user_to_mprj_oen_buffers[74]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.56 ^ mprj/la_oenb[53] (user_project_wrapper) + 6.56 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38515_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[49] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.76 ^ soc/core/_38515_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 6.01 v soc/core/_38515_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.12 6.13 ^ soc/core/_32245_/Y (sky130_fd_sc_hd__inv_2) + 0.11 6.24 ^ soc/core/output483/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 6.40 ^ mgmt_buffers/input491/X (sky130_fd_sc_hd__clkbuf_4) + 0.10 6.50 v mgmt_buffers/_341_/Y (sky130_fd_sc_hd__clkinv_2) + 0.05 6.55 ^ mgmt_buffers/user_to_mprj_oen_buffers[78]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.55 ^ mprj/la_oenb[49] (user_project_wrapper) + 6.55 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38533_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[95] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.74 ^ soc/core/_38533_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.32 6.06 v soc/core/_38533_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.10 6.16 ^ soc/core/_21381_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.25 ^ soc/core/output433/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.38 ^ mgmt_buffers/input441/X (sky130_fd_sc_hd__buf_2) + 0.10 6.48 v mgmt_buffers/_624_/Y (sky130_fd_sc_hd__clkinv_4) + 0.07 6.55 ^ mgmt_buffers/user_to_mprj_oen_buffers[32]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.55 ^ mprj/la_oenb[95] (user_project_wrapper) + 6.55 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38516_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[48] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.76 ^ soc/core/_38516_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 6.01 v soc/core/_38516_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.11 6.11 ^ soc/core/_32246_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.22 ^ soc/core/output484/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 6.38 ^ mgmt_buffers/input492/X (sky130_fd_sc_hd__clkbuf_4) + 0.11 6.49 v mgmt_buffers/_342_/Y (sky130_fd_sc_hd__clkinv_2) + 0.05 6.55 ^ mgmt_buffers/user_to_mprj_oen_buffers[79]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.55 ^ mprj/la_oenb[48] (user_project_wrapper) + 6.55 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39200_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[14] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.86 ^ soc/core/_39200_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.28 6.14 ^ soc/core/_39200_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.05 6.19 v soc/core/_19099_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.28 v soc/core/output395/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.42 v mgmt_buffers/input403/X (sky130_fd_sc_hd__clkbuf_4) + 0.11 6.53 ^ mgmt_buffers/_376_/Y (sky130_fd_sc_hd__inv_2) + 0.02 6.55 v mgmt_buffers/user_to_mprj_oen_buffers[113]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.55 v mprj/la_oenb[14] (user_project_wrapper) + 6.55 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39198_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[16] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.86 ^ soc/core/_39198_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.28 6.15 ^ soc/core/_39198_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.05 6.20 v soc/core/_19106_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.29 v soc/core/output393/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 6.42 v mgmt_buffers/input401/X (sky130_fd_sc_hd__buf_4) + 0.11 6.52 ^ mgmt_buffers/_374_/Y (sky130_fd_sc_hd__inv_2) + 0.02 6.54 v mgmt_buffers/user_to_mprj_oen_buffers[111]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.54 v mprj/la_oenb[16] (user_project_wrapper) + 6.54 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38512_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[52] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.76 ^ soc/core/_38512_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.27 6.02 v soc/core/_38512_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.10 6.12 ^ soc/core/_32242_/Y (sky130_fd_sc_hd__clkinv_2) + 0.10 6.22 ^ soc/core/output480/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 6.39 ^ mgmt_buffers/input488/X (sky130_fd_sc_hd__buf_2) + 0.10 6.49 v mgmt_buffers/_338_/Y (sky130_fd_sc_hd__clkinv_2) + 0.05 6.54 ^ mgmt_buffers/user_to_mprj_oen_buffers[75]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.54 ^ mprj/la_oenb[52] (user_project_wrapper) + 6.54 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38507_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[57] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.75 ^ soc/core/_38507_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 6.01 v soc/core/_38507_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.09 6.10 ^ soc/core/_32237_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.20 ^ soc/core/output475/X (sky130_fd_sc_hd__clkbuf_4) + 0.18 6.37 ^ mgmt_buffers/input483/X (sky130_fd_sc_hd__buf_2) + 0.11 6.48 v mgmt_buffers/_333_/Y (sky130_fd_sc_hd__clkinv_2) + 0.06 6.54 ^ mgmt_buffers/user_to_mprj_oen_buffers[70]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.54 ^ mprj/la_oenb[57] (user_project_wrapper) + 6.54 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39202_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[12] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.86 ^ soc/core/_39202_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.24 6.10 v soc/core/_39202_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.06 6.15 ^ soc/core/_19093_/Y (sky130_fd_sc_hd__inv_2) + 0.08 6.23 ^ soc/core/output397/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 6.38 ^ mgmt_buffers/input405/X (sky130_fd_sc_hd__clkbuf_4) + 0.10 6.48 v mgmt_buffers/_378_/Y (sky130_fd_sc_hd__clkinv_2) + 0.05 6.54 ^ mgmt_buffers/user_to_mprj_oen_buffers[115]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.54 ^ mprj/la_oenb[12] (user_project_wrapper) + 6.54 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39197_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[17] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.86 ^ soc/core/_39197_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.27 6.13 ^ soc/core/_39197_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.04 6.17 v soc/core/_19111_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.27 v soc/core/output392/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.41 v mgmt_buffers/input400/X (sky130_fd_sc_hd__clkbuf_4) + 0.11 6.52 ^ mgmt_buffers/_373_/Y (sky130_fd_sc_hd__inv_2) + 0.02 6.54 v mgmt_buffers/user_to_mprj_oen_buffers[110]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.54 v mprj/la_oenb[17] (user_project_wrapper) + 6.54 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39191_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[23] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.86 ^ soc/core/_39191_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.24 6.10 v soc/core/_39191_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.07 6.18 ^ soc/core/_19131_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.26 ^ soc/core/output385/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 6.39 ^ mgmt_buffers/input393/X (sky130_fd_sc_hd__buf_2) + 0.09 6.48 v mgmt_buffers/_367_/Y (sky130_fd_sc_hd__clkinv_2) + 0.05 6.53 ^ mgmt_buffers/user_to_mprj_oen_buffers[104]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.53 ^ mprj/la_oenb[23] (user_project_wrapper) + 6.53 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38541_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[87] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.75 ^ soc/core/_38541_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.27 6.02 v soc/core/_38541_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.09 6.11 ^ soc/core/_21355_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.20 ^ soc/core/output442/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 6.36 ^ mgmt_buffers/input450/X (sky130_fd_sc_hd__buf_4) + 0.12 6.48 v mgmt_buffers/_632_/Y (sky130_fd_sc_hd__clkinv_2) + 0.05 6.53 ^ mgmt_buffers/user_to_mprj_oen_buffers[40]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.53 ^ mprj/la_oenb[87] (user_project_wrapper) + 6.53 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39195_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[19] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.86 ^ soc/core/_39195_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.27 6.13 ^ soc/core/_39195_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.04 6.18 v soc/core/_19118_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.27 v soc/core/output389/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 6.40 v mgmt_buffers/input397/X (sky130_fd_sc_hd__buf_4) + 0.11 6.51 ^ mgmt_buffers/_371_/Y (sky130_fd_sc_hd__inv_2) + 0.02 6.53 v mgmt_buffers/user_to_mprj_oen_buffers[108]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.53 v mprj/la_oenb[19] (user_project_wrapper) + 6.53 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39194_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[20] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.86 ^ soc/core/_39194_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.27 6.13 ^ soc/core/_39194_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.04 6.17 v soc/core/_19121_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.26 v soc/core/output388/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.40 v mgmt_buffers/input396/X (sky130_fd_sc_hd__clkbuf_4) + 0.11 6.51 ^ mgmt_buffers/_370_/Y (sky130_fd_sc_hd__inv_2) + 0.02 6.52 v mgmt_buffers/user_to_mprj_oen_buffers[107]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.52 v mprj/la_oenb[20] (user_project_wrapper) + 6.52 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38510_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[54] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.75 ^ soc/core/_38510_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.29 6.04 ^ soc/core/_38510_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.11 6.15 v soc/core/_32240_/Y (sky130_fd_sc_hd__clkinv_2) + 0.12 6.27 v soc/core/output478/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.41 v mgmt_buffers/input486/X (sky130_fd_sc_hd__clkbuf_4) + 0.09 6.50 ^ mgmt_buffers/_336_/Y (sky130_fd_sc_hd__clkinv_2) + 0.02 6.52 v mgmt_buffers/user_to_mprj_oen_buffers[73]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.52 v mprj/la_oenb[54] (user_project_wrapper) + 6.52 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38552_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[76] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.74 ^ soc/core/_38552_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.25 6.00 v soc/core/_38552_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.07 6.07 ^ soc/core/_21318_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.16 ^ soc/core/output454/X (sky130_fd_sc_hd__clkbuf_4) + 0.18 6.34 ^ mgmt_buffers/input462/X (sky130_fd_sc_hd__buf_2) + 0.12 6.45 v mgmt_buffers/_643_/Y (sky130_fd_sc_hd__clkinv_4) + 0.07 6.52 ^ mgmt_buffers/user_to_mprj_oen_buffers[51]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.52 ^ mprj/la_oenb[76] (user_project_wrapper) + 6.52 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38547_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[81] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.74 ^ soc/core/_38547_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 5.99 v soc/core/_38547_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.10 6.09 ^ soc/core/_21336_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.19 ^ soc/core/output448/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 6.35 ^ mgmt_buffers/input456/X (sky130_fd_sc_hd__buf_4) + 0.12 6.46 v mgmt_buffers/_638_/Y (sky130_fd_sc_hd__clkinv_2) + 0.05 6.52 ^ mgmt_buffers/user_to_mprj_oen_buffers[46]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.52 ^ mprj/la_oenb[81] (user_project_wrapper) + 6.52 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38537_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[91] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.74 ^ soc/core/_38537_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.32 6.05 ^ soc/core/_38537_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.07 6.12 v soc/core/_21369_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.22 v soc/core/output437/X (sky130_fd_sc_hd__clkbuf_4) + 0.11 6.34 v mgmt_buffers/input445/X (sky130_fd_sc_hd__clkbuf_2) + 0.16 6.50 ^ mgmt_buffers/_628_/Y (sky130_fd_sc_hd__inv_2) + 0.02 6.52 v mgmt_buffers/user_to_mprj_oen_buffers[36]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.52 v mprj/la_oenb[91] (user_project_wrapper) + 6.52 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39201_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[13] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.86 ^ soc/core/_39201_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.27 6.13 ^ soc/core/_39201_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.04 6.17 v soc/core/_19096_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.26 v soc/core/output396/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.40 v mgmt_buffers/input404/X (sky130_fd_sc_hd__clkbuf_4) + 0.10 6.50 ^ mgmt_buffers/_377_/Y (sky130_fd_sc_hd__inv_2) + 0.02 6.52 v mgmt_buffers/user_to_mprj_oen_buffers[114]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.52 v mprj/la_oenb[13] (user_project_wrapper) + 6.52 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39199_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[15] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.86 ^ soc/core/_39199_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.24 6.10 v soc/core/_39199_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.06 6.16 ^ soc/core/_19103_/Y (sky130_fd_sc_hd__inv_2) + 0.08 6.24 ^ soc/core/output394/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 6.40 ^ mgmt_buffers/input402/X (sky130_fd_sc_hd__buf_2) + 0.06 6.47 v mgmt_buffers/_375_/Y (sky130_fd_sc_hd__inv_2) + 0.05 6.51 ^ mgmt_buffers/user_to_mprj_oen_buffers[112]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.51 ^ mprj/la_oenb[15] (user_project_wrapper) + 6.51 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38548_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[80] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.75 ^ soc/core/_38548_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.24 5.99 v soc/core/_38548_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.10 6.09 ^ soc/core/_21331_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.19 ^ soc/core/output449/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 6.35 ^ mgmt_buffers/input457/X (sky130_fd_sc_hd__buf_4) + 0.11 6.46 v mgmt_buffers/_639_/Y (sky130_fd_sc_hd__clkinv_2) + 0.05 6.51 ^ mgmt_buffers/user_to_mprj_oen_buffers[47]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.51 ^ mprj/la_oenb[80] (user_project_wrapper) + 6.51 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38561_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[67] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.75 ^ soc/core/_38561_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.24 5.99 v soc/core/_38561_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.10 6.09 ^ soc/core/_21288_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.19 ^ soc/core/output464/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 6.39 ^ mgmt_buffers/input472/X (sky130_fd_sc_hd__buf_2) + 0.07 6.46 v mgmt_buffers/_652_/Y (sky130_fd_sc_hd__inv_2) + 0.05 6.51 ^ mgmt_buffers/user_to_mprj_oen_buffers[60]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.51 ^ mprj/la_oenb[67] (user_project_wrapper) + 6.51 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38554_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[74] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.74 ^ soc/core/_38554_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 5.99 v soc/core/_38554_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.09 6.07 ^ soc/core/_21312_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.16 ^ soc/core/output456/X (sky130_fd_sc_hd__clkbuf_4) + 0.18 6.34 ^ mgmt_buffers/input464/X (sky130_fd_sc_hd__buf_2) + 0.11 6.45 v mgmt_buffers/_645_/Y (sky130_fd_sc_hd__clkinv_2) + 0.05 6.51 ^ mgmt_buffers/user_to_mprj_oen_buffers[53]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.51 ^ mprj/la_oenb[74] (user_project_wrapper) + 6.51 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38536_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[92] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.74 ^ soc/core/_38536_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.28 6.01 v soc/core/_38536_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.09 6.10 ^ soc/core/_21372_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.19 ^ soc/core/output436/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 6.32 ^ mgmt_buffers/input444/X (sky130_fd_sc_hd__clkbuf_2) + 0.11 6.43 v mgmt_buffers/_627_/Y (sky130_fd_sc_hd__clkinv_4) + 0.08 6.51 ^ mgmt_buffers/user_to_mprj_oen_buffers[35]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.51 ^ mprj/la_oenb[92] (user_project_wrapper) + 6.51 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38513_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[51] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.75 ^ soc/core/_38513_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.25 6.00 v soc/core/_38513_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.12 6.13 ^ soc/core/_32243_/Y (sky130_fd_sc_hd__inv_2) + 0.11 6.24 ^ soc/core/output481/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 6.40 ^ mgmt_buffers/input489/X (sky130_fd_sc_hd__clkbuf_4) + 0.06 6.46 v mgmt_buffers/_339_/Y (sky130_fd_sc_hd__inv_2) + 0.04 6.51 ^ mgmt_buffers/user_to_mprj_oen_buffers[76]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.51 ^ mprj/la_oenb[51] (user_project_wrapper) + 6.51 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38540_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[88] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.73 ^ soc/core/_38540_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.30 6.04 ^ soc/core/_38540_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.11 6.15 v soc/core/_21358_/Y (sky130_fd_sc_hd__clkinv_2) + 0.12 6.27 v soc/core/output440/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 6.40 v mgmt_buffers/input448/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.09 6.49 ^ mgmt_buffers/_631_/Y (sky130_fd_sc_hd__inv_2) + 0.02 6.51 v mgmt_buffers/user_to_mprj_oen_buffers[39]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.51 v mprj/la_oenb[88] (user_project_wrapper) + 6.51 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38508_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[56] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.75 ^ soc/core/_38508_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.29 6.04 ^ soc/core/_38508_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.11 6.15 v soc/core/_32238_/Y (sky130_fd_sc_hd__clkinv_2) + 0.12 6.27 v soc/core/output476/X (sky130_fd_sc_hd__clkbuf_4) + 0.12 6.39 v mgmt_buffers/input484/X (sky130_fd_sc_hd__clkbuf_2) + 0.09 6.48 ^ mgmt_buffers/_334_/Y (sky130_fd_sc_hd__clkinv_2) + 0.02 6.50 v mgmt_buffers/user_to_mprj_oen_buffers[71]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.50 v mprj/la_oenb[56] (user_project_wrapper) + 6.50 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38545_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[83] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.75 ^ soc/core/_38545_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 6.00 v soc/core/_38545_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.10 6.10 ^ soc/core/_21342_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.20 ^ soc/core/output446/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.34 ^ mgmt_buffers/input454/X (sky130_fd_sc_hd__buf_2) + 0.10 6.44 v mgmt_buffers/_636_/Y (sky130_fd_sc_hd__clkinv_4) + 0.07 6.50 ^ mgmt_buffers/user_to_mprj_oen_buffers[44]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.50 ^ mprj/la_oenb[83] (user_project_wrapper) + 6.50 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38535_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[93] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.74 ^ soc/core/_38535_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.28 6.02 v soc/core/_38535_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.10 6.11 ^ soc/core/_21375_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.21 ^ soc/core/output435/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 6.34 ^ mgmt_buffers/input443/X (sky130_fd_sc_hd__clkbuf_2) + 0.10 6.44 v mgmt_buffers/_626_/Y (sky130_fd_sc_hd__inv_2) + 0.06 6.50 ^ mgmt_buffers/user_to_mprj_oen_buffers[34]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.50 ^ mprj/la_oenb[93] (user_project_wrapper) + 6.50 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38581_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[111] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.71 ^ soc/core/_38581_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.27 5.98 ^ soc/core/_38581_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.10 6.08 v soc/core/_21219_/Y (sky130_fd_sc_hd__clkinv_2) + 0.12 6.20 v soc/core/output415/X (sky130_fd_sc_hd__clkbuf_4) + 0.12 6.31 v mgmt_buffers/input423/X (sky130_fd_sc_hd__clkbuf_2) + 0.17 6.48 ^ mgmt_buffers/_608_/Y (sky130_fd_sc_hd__inv_2) + 0.02 6.50 v mgmt_buffers/user_to_mprj_oen_buffers[16]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.50 v mprj/la_oenb[111] (user_project_wrapper) + 6.50 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38509_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[55] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.75 ^ soc/core/_38509_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.28 6.04 v soc/core/_38509_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.09 6.12 ^ soc/core/_32239_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.21 ^ soc/core/output477/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.35 ^ mgmt_buffers/input485/X (sky130_fd_sc_hd__buf_2) + 0.09 6.44 v mgmt_buffers/_335_/Y (sky130_fd_sc_hd__clkinv_2) + 0.05 6.50 ^ mgmt_buffers/user_to_mprj_oen_buffers[72]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.50 ^ mprj/la_oenb[55] (user_project_wrapper) + 6.50 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38538_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[90] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.74 ^ soc/core/_38538_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.27 6.01 v soc/core/_38538_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.10 6.11 ^ soc/core/_21366_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.20 ^ soc/core/output438/X (sky130_fd_sc_hd__clkbuf_4) + 0.12 6.32 ^ mgmt_buffers/input446/X (sky130_fd_sc_hd__clkbuf_2) + 0.10 6.43 v mgmt_buffers/_629_/Y (sky130_fd_sc_hd__clkinv_4) + 0.07 6.50 ^ mgmt_buffers/user_to_mprj_oen_buffers[37]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.50 ^ mprj/la_oenb[90] (user_project_wrapper) + 6.50 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38543_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[85] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.72 ^ soc/core/_38543_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.33 6.05 ^ soc/core/_38543_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.06 6.10 v soc/core/_21348_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.21 v soc/core/output444/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 6.36 v mgmt_buffers/input452/X (sky130_fd_sc_hd__clkbuf_4) + 0.12 6.48 ^ mgmt_buffers/_634_/Y (sky130_fd_sc_hd__inv_2) + 0.02 6.50 v mgmt_buffers/user_to_mprj_oen_buffers[42]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.50 v mprj/la_oenb[85] (user_project_wrapper) + 6.50 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38567_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[125] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.75 ^ soc/core/_38567_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.27 6.02 v soc/core/_38567_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.07 6.10 ^ soc/core/_21267_/Y (sky130_fd_sc_hd__clkinv_2) + 0.09 6.18 ^ soc/core/output430/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 6.32 ^ mgmt_buffers/input438/X (sky130_fd_sc_hd__buf_2) + 0.11 6.42 v mgmt_buffers/_594_/Y (sky130_fd_sc_hd__clkinv_4) + 0.07 6.50 ^ mgmt_buffers/user_to_mprj_oen_buffers[2]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.50 ^ mprj/la_oenb[125] (user_project_wrapper) + 6.50 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38573_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[119] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.71 ^ soc/core/_38573_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 5.96 v soc/core/_38573_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.13 6.09 ^ soc/core/_21246_/Y (sky130_fd_sc_hd__inv_2) + 0.11 6.20 ^ soc/core/output496/X (sky130_fd_sc_hd__clkbuf_4) + 0.11 6.32 ^ mgmt_buffers/input504/X (sky130_fd_sc_hd__buf_2) + 0.10 6.42 v mgmt_buffers/_600_/Y (sky130_fd_sc_hd__clkinv_4) + 0.07 6.50 ^ mgmt_buffers/user_to_mprj_oen_buffers[8]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.50 ^ mprj/la_oenb[119] (user_project_wrapper) + 6.50 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38595_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[97] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.74 ^ soc/core/_38595_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.24 5.97 v soc/core/_38595_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.09 6.06 ^ soc/core/_21174_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.15 ^ soc/core/output431/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 6.31 ^ mgmt_buffers/input439/X (sky130_fd_sc_hd__buf_2) + 0.11 6.42 v mgmt_buffers/_622_/Y (sky130_fd_sc_hd__clkinv_4) + 0.07 6.50 ^ mgmt_buffers/user_to_mprj_oen_buffers[30]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.50 ^ mprj/la_oenb[97] (user_project_wrapper) + 6.50 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38558_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[70] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.75 ^ soc/core/_38558_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.30 6.05 ^ soc/core/_38558_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.06 6.11 v soc/core/_21298_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.21 v soc/core/output460/X (sky130_fd_sc_hd__clkbuf_4) + 0.11 6.32 v mgmt_buffers/input468/X (sky130_fd_sc_hd__clkbuf_2) + 0.16 6.48 ^ mgmt_buffers/_649_/Y (sky130_fd_sc_hd__inv_2) + 0.02 6.50 v mgmt_buffers/user_to_mprj_oen_buffers[57]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.50 v mprj/la_oenb[70] (user_project_wrapper) + 6.50 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38542_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[86] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.75 ^ soc/core/_38542_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.31 6.06 ^ soc/core/_38542_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.05 6.11 v soc/core/_21352_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.21 v soc/core/output443/X (sky130_fd_sc_hd__clkbuf_4) + 0.11 6.32 v mgmt_buffers/input451/X (sky130_fd_sc_hd__clkbuf_2) + 0.16 6.48 ^ mgmt_buffers/_633_/Y (sky130_fd_sc_hd__inv_2) + 0.02 6.49 v mgmt_buffers/user_to_mprj_oen_buffers[41]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.49 v mprj/la_oenb[86] (user_project_wrapper) + 6.49 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38514_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[50] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.76 ^ soc/core/_38514_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.29 6.05 v soc/core/_38514_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.06 6.11 ^ soc/core/_32244_/Y (sky130_fd_sc_hd__inv_2) + 0.08 6.18 ^ soc/core/output482/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 6.34 ^ mgmt_buffers/input490/X (sky130_fd_sc_hd__clkbuf_4) + 0.10 6.44 v mgmt_buffers/_340_/Y (sky130_fd_sc_hd__clkinv_2) + 0.05 6.49 ^ mgmt_buffers/user_to_mprj_oen_buffers[77]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.49 ^ mprj/la_oenb[50] (user_project_wrapper) + 6.49 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38559_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[69] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.70 ^ soc/core/_38559_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.23 5.94 v soc/core/_38559_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.10 6.03 ^ soc/core/_21294_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.13 ^ soc/core/output461/X (sky130_fd_sc_hd__clkbuf_4) + 0.19 6.32 ^ mgmt_buffers/input469/X (sky130_fd_sc_hd__buf_2) + 0.11 6.43 v mgmt_buffers/_650_/Y (sky130_fd_sc_hd__clkinv_2) + 0.06 6.49 ^ mgmt_buffers/user_to_mprj_oen_buffers[58]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.49 ^ mprj/la_oenb[69] (user_project_wrapper) + 6.49 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38564_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[64] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.75 ^ soc/core/_38564_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.24 5.99 v soc/core/_38564_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.10 6.09 ^ soc/core/_21276_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.20 ^ soc/core/output467/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.33 ^ mgmt_buffers/input475/X (sky130_fd_sc_hd__clkbuf_2) + 0.09 6.42 v mgmt_buffers/_655_/Y (sky130_fd_sc_hd__inv_2) + 0.06 6.49 ^ mgmt_buffers/user_to_mprj_oen_buffers[63]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.49 ^ mprj/la_oenb[64] (user_project_wrapper) + 6.49 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38570_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[122] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.72 ^ soc/core/_38570_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.33 6.05 ^ soc/core/_38570_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.11 6.15 v soc/core/_21258_/Y (sky130_fd_sc_hd__clkinv_2) + 0.11 6.27 v soc/core/output463/X (sky130_fd_sc_hd__clkbuf_4) + 0.11 6.37 v mgmt_buffers/input471/X (sky130_fd_sc_hd__buf_2) + 0.08 6.46 ^ mgmt_buffers/_597_/Y (sky130_fd_sc_hd__clkinv_4) + 0.03 6.48 v mgmt_buffers/user_to_mprj_oen_buffers[5]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.48 v mprj/la_oenb[122] (user_project_wrapper) + 6.48 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38593_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[99] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.71 ^ soc/core/_38593_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.24 5.95 v soc/core/_38593_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.10 6.05 ^ soc/core/_21180_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.15 ^ soc/core/output428/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 6.31 ^ mgmt_buffers/input436/X (sky130_fd_sc_hd__clkbuf_4) + 0.11 6.43 v mgmt_buffers/_620_/Y (sky130_fd_sc_hd__clkinv_2) + 0.06 6.48 ^ mgmt_buffers/user_to_mprj_oen_buffers[28]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.48 ^ mprj/la_oenb[99] (user_project_wrapper) + 6.48 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38502_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[62] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.75 ^ soc/core/_38502_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.33 6.08 ^ soc/core/_38502_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.04 6.13 v soc/core/_32232_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.22 v soc/core/output469/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 6.36 v mgmt_buffers/input477/X (sky130_fd_sc_hd__clkbuf_2) + 0.10 6.46 ^ mgmt_buffers/_657_/Y (sky130_fd_sc_hd__inv_2) + 0.02 6.48 v mgmt_buffers/user_to_mprj_oen_buffers[65]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.48 v mprj/la_oenb[62] (user_project_wrapper) + 6.48 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38517_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[47] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.74 ^ soc/core/_38517_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.27 6.01 v soc/core/_38517_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.05 6.06 ^ soc/core/_32247_/Y (sky130_fd_sc_hd__inv_2) + 0.08 6.14 ^ soc/core/output486/X (sky130_fd_sc_hd__clkbuf_4) + 0.18 6.32 ^ mgmt_buffers/input494/X (sky130_fd_sc_hd__clkbuf_4) + 0.10 6.42 v mgmt_buffers/_343_/Y (sky130_fd_sc_hd__clkinv_2) + 0.05 6.47 ^ mgmt_buffers/user_to_mprj_oen_buffers[80]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.47 ^ mprj/la_oenb[47] (user_project_wrapper) + 6.47 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38539_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[89] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.74 ^ soc/core/_38539_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.27 6.01 v soc/core/_38539_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.09 6.09 ^ soc/core/_21363_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.18 ^ soc/core/output439/X (sky130_fd_sc_hd__clkbuf_4) + 0.12 6.30 ^ mgmt_buffers/input447/X (sky130_fd_sc_hd__clkbuf_2) + 0.10 6.40 v mgmt_buffers/_630_/Y (sky130_fd_sc_hd__clkinv_4) + 0.07 6.47 ^ mgmt_buffers/user_to_mprj_oen_buffers[38]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.47 ^ mprj/la_oenb[89] (user_project_wrapper) + 6.47 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38550_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[78] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.74 ^ soc/core/_38550_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.24 5.97 v soc/core/_38550_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.06 6.03 ^ soc/core/_21325_/Y (sky130_fd_sc_hd__inv_2) + 0.08 6.12 ^ soc/core/output451/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 6.28 ^ mgmt_buffers/input459/X (sky130_fd_sc_hd__clkbuf_4) + 0.12 6.41 v mgmt_buffers/_641_/Y (sky130_fd_sc_hd__clkinv_2) + 0.06 6.46 ^ mgmt_buffers/user_to_mprj_oen_buffers[49]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.46 ^ mprj/la_oenb[78] (user_project_wrapper) + 6.46 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38504_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[60] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.73 ^ soc/core/_38504_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.27 6.00 v soc/core/_38504_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.08 6.08 ^ soc/core/_32234_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.16 ^ soc/core/output471/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 6.31 ^ mgmt_buffers/input479/X (sky130_fd_sc_hd__buf_4) + 0.10 6.41 v mgmt_buffers/_330_/Y (sky130_fd_sc_hd__clkinv_2) + 0.05 6.46 ^ mgmt_buffers/user_to_mprj_oen_buffers[67]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.46 ^ mprj/la_oenb[60] (user_project_wrapper) + 6.46 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38556_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[72] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.74 ^ soc/core/_38556_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 5.99 v soc/core/_38556_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.08 6.07 ^ soc/core/_21304_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.16 ^ soc/core/output458/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.30 ^ mgmt_buffers/input466/X (sky130_fd_sc_hd__buf_2) + 0.10 6.40 v mgmt_buffers/_647_/Y (sky130_fd_sc_hd__clkinv_2) + 0.06 6.46 ^ mgmt_buffers/user_to_mprj_oen_buffers[55]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.46 ^ mprj/la_oenb[72] (user_project_wrapper) + 6.46 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38592_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[100] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.74 ^ soc/core/_38592_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.28 6.01 ^ soc/core/_38592_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.10 6.12 v soc/core/_21183_/Y (sky130_fd_sc_hd__clkinv_2) + 0.11 6.23 v soc/core/output427/X (sky130_fd_sc_hd__clkbuf_4) + 0.11 6.34 v mgmt_buffers/input435/X (sky130_fd_sc_hd__buf_2) + 0.10 6.44 ^ mgmt_buffers/_619_/Y (sky130_fd_sc_hd__clkinv_2) + 0.02 6.46 v mgmt_buffers/user_to_mprj_oen_buffers[27]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.46 v mprj/la_oenb[100] (user_project_wrapper) + 6.46 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38590_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[102] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.71 ^ soc/core/_38590_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.24 5.96 v soc/core/_38590_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.08 6.04 ^ soc/core/_21189_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.13 ^ soc/core/output425/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 6.29 ^ mgmt_buffers/input433/X (sky130_fd_sc_hd__buf_2) + 0.11 6.40 v mgmt_buffers/_617_/Y (sky130_fd_sc_hd__clkinv_2) + 0.06 6.46 ^ mgmt_buffers/user_to_mprj_oen_buffers[25]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.46 ^ mprj/la_oenb[102] (user_project_wrapper) + 6.46 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38549_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[79] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.73 ^ soc/core/_38549_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.23 5.97 v soc/core/_38549_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.07 6.04 ^ soc/core/_21328_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.12 ^ soc/core/output450/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 6.28 ^ mgmt_buffers/input458/X (sky130_fd_sc_hd__buf_2) + 0.10 6.38 v mgmt_buffers/_640_/Y (sky130_fd_sc_hd__clkinv_4) + 0.07 6.45 ^ mgmt_buffers/user_to_mprj_oen_buffers[48]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.45 ^ mprj/la_oenb[79] (user_project_wrapper) + 6.45 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38589_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[103] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.71 ^ soc/core/_38589_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.24 5.95 v soc/core/_38589_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.09 6.04 ^ soc/core/_21192_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.14 ^ soc/core/output424/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 6.29 ^ mgmt_buffers/input432/X (sky130_fd_sc_hd__clkbuf_4) + 0.10 6.40 v mgmt_buffers/_616_/Y (sky130_fd_sc_hd__clkinv_2) + 0.05 6.45 ^ mgmt_buffers/user_to_mprj_oen_buffers[24]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.45 ^ mprj/la_oenb[103] (user_project_wrapper) + 6.45 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38563_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[65] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.75 ^ soc/core/_38563_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.23 5.98 v soc/core/_38563_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.08 6.06 ^ soc/core/_21282_/Y (sky130_fd_sc_hd__clkinv_2) + 0.10 6.16 ^ soc/core/output466/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 6.31 ^ mgmt_buffers/input474/X (sky130_fd_sc_hd__buf_2) + 0.09 6.40 v mgmt_buffers/_654_/Y (sky130_fd_sc_hd__clkinv_2) + 0.05 6.45 ^ mgmt_buffers/user_to_mprj_oen_buffers[62]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.45 ^ mprj/la_oenb[65] (user_project_wrapper) + 6.45 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38596_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[96] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.74 ^ soc/core/_38596_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.24 5.97 v soc/core/_38596_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.08 6.05 ^ soc/core/_21166_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.14 ^ soc/core/output432/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.28 ^ mgmt_buffers/input440/X (sky130_fd_sc_hd__buf_2) + 0.10 6.38 v mgmt_buffers/_623_/Y (sky130_fd_sc_hd__clkinv_4) + 0.07 6.45 ^ mgmt_buffers/user_to_mprj_oen_buffers[31]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.45 ^ mprj/la_oenb[96] (user_project_wrapper) + 6.45 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38591_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[101] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.74 ^ soc/core/_38591_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.29 6.03 ^ soc/core/_38591_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.06 6.09 v soc/core/_21186_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.19 v soc/core/output426/X (sky130_fd_sc_hd__clkbuf_4) + 0.10 6.28 v mgmt_buffers/input434/X (sky130_fd_sc_hd__clkbuf_2) + 0.15 6.43 ^ mgmt_buffers/_618_/Y (sky130_fd_sc_hd__inv_2) + 0.02 6.45 v mgmt_buffers/user_to_mprj_oen_buffers[26]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.45 v mprj/la_oenb[101] (user_project_wrapper) + 6.45 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38569_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[123] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.72 ^ soc/core/_38569_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.27 5.99 v soc/core/_38569_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.07 6.06 ^ soc/core/_21261_/Y (sky130_fd_sc_hd__inv_2) + 0.08 6.15 ^ soc/core/output452/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 6.28 ^ mgmt_buffers/input460/X (sky130_fd_sc_hd__buf_2) + 0.10 6.38 v mgmt_buffers/_596_/Y (sky130_fd_sc_hd__clkinv_4) + 0.07 6.45 ^ mgmt_buffers/user_to_mprj_oen_buffers[4]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.45 ^ mprj/la_oenb[123] (user_project_wrapper) + 6.45 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38580_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[112] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.72 ^ soc/core/_38580_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.27 5.99 v soc/core/_38580_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.07 6.06 ^ soc/core/_21222_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.15 ^ soc/core/output414/X (sky130_fd_sc_hd__clkbuf_4) + 0.12 6.27 ^ mgmt_buffers/input422/X (sky130_fd_sc_hd__clkbuf_2) + 0.10 6.37 v mgmt_buffers/_607_/Y (sky130_fd_sc_hd__clkinv_4) + 0.07 6.44 ^ mgmt_buffers/user_to_mprj_oen_buffers[15]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.44 ^ mprj/la_oenb[112] (user_project_wrapper) + 6.44 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38576_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[116] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.72 ^ soc/core/_38576_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.29 6.01 ^ soc/core/_38576_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.05 6.06 v soc/core/_21237_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.16 v soc/core/output402/X (sky130_fd_sc_hd__clkbuf_4) + 0.11 6.27 v mgmt_buffers/input410/X (sky130_fd_sc_hd__clkbuf_2) + 0.16 6.43 ^ mgmt_buffers/_603_/Y (sky130_fd_sc_hd__inv_2) + 0.02 6.44 v mgmt_buffers/user_to_mprj_oen_buffers[11]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.44 v mprj/la_oenb[116] (user_project_wrapper) + 6.44 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38553_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[75] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.74 ^ soc/core/_38553_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.31 6.04 ^ soc/core/_38553_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.06 6.10 v soc/core/_21315_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.21 v soc/core/output455/X (sky130_fd_sc_hd__clkbuf_4) + 0.12 6.33 v mgmt_buffers/input463/X (sky130_fd_sc_hd__clkbuf_2) + 0.09 6.42 ^ mgmt_buffers/_644_/Y (sky130_fd_sc_hd__inv_2) + 0.02 6.44 v mgmt_buffers/user_to_mprj_oen_buffers[52]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.44 v mprj/la_oenb[75] (user_project_wrapper) + 6.44 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38572_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[120] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.72 ^ soc/core/_38572_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.27 5.99 v soc/core/_38572_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.08 6.07 ^ soc/core/_21249_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.15 ^ soc/core/output485/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 6.28 ^ mgmt_buffers/input493/X (sky130_fd_sc_hd__buf_2) + 0.09 6.37 v mgmt_buffers/_599_/Y (sky130_fd_sc_hd__clkinv_4) + 0.07 6.44 ^ mgmt_buffers/user_to_mprj_oen_buffers[7]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.44 ^ mprj/la_oenb[120] (user_project_wrapper) + 6.44 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38594_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[98] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.71 ^ soc/core/_38594_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.28 5.99 ^ soc/core/_38594_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.04 6.03 v soc/core/_21177_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.12 v soc/core/output429/X (sky130_fd_sc_hd__clkbuf_4) + 0.12 6.25 v mgmt_buffers/input437/X (sky130_fd_sc_hd__buf_2) + 0.17 6.42 ^ mgmt_buffers/_621_/Y (sky130_fd_sc_hd__inv_2) + 0.02 6.43 v mgmt_buffers/user_to_mprj_oen_buffers[29]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.43 v mprj/la_oenb[98] (user_project_wrapper) + 6.43 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38520_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[44] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.75 ^ soc/core/_38520_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 6.00 v soc/core/_38520_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.05 6.05 ^ soc/core/_32250_/Y (sky130_fd_sc_hd__inv_2) + 0.08 6.12 ^ soc/core/output489/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 6.28 ^ mgmt_buffers/input497/X (sky130_fd_sc_hd__buf_4) + 0.11 6.38 v mgmt_buffers/_346_/Y (sky130_fd_sc_hd__clkinv_2) + 0.05 6.43 ^ mgmt_buffers/user_to_mprj_oen_buffers[83]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.43 ^ mprj/la_oenb[44] (user_project_wrapper) + 6.43 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38586_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[106] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.71 ^ soc/core/_38586_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 5.96 v soc/core/_38586_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.08 6.05 ^ soc/core/_21204_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.13 ^ soc/core/output421/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 6.28 ^ mgmt_buffers/input429/X (sky130_fd_sc_hd__buf_2) + 0.10 6.38 v mgmt_buffers/_613_/Y (sky130_fd_sc_hd__clkinv_2) + 0.05 6.43 ^ mgmt_buffers/user_to_mprj_oen_buffers[21]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.43 ^ mprj/la_oenb[106] (user_project_wrapper) + 6.43 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38585_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[107] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.71 ^ soc/core/_38585_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.25 5.97 v soc/core/_38585_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.07 6.04 ^ soc/core/_21207_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.12 ^ soc/core/output420/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 6.25 ^ mgmt_buffers/input428/X (sky130_fd_sc_hd__clkbuf_2) + 0.10 6.36 v mgmt_buffers/_612_/Y (sky130_fd_sc_hd__clkinv_4) + 0.08 6.43 ^ mgmt_buffers/user_to_mprj_oen_buffers[20]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.43 ^ mprj/la_oenb[107] (user_project_wrapper) + 6.43 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38503_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[61] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.75 ^ soc/core/_38503_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.29 6.04 ^ soc/core/_38503_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.04 6.09 v soc/core/_32233_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.18 v soc/core/output470/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 6.31 v mgmt_buffers/input478/X (sky130_fd_sc_hd__buf_4) + 0.10 6.41 ^ mgmt_buffers/_329_/Y (sky130_fd_sc_hd__inv_2) + 0.02 6.43 v mgmt_buffers/user_to_mprj_oen_buffers[66]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.43 v mprj/la_oenb[61] (user_project_wrapper) + 6.43 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38571_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[121] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.72 ^ soc/core/_38571_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.27 5.99 v soc/core/_38571_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.09 6.09 ^ soc/core/_21255_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.18 ^ soc/core/output474/X (sky130_fd_sc_hd__clkbuf_4) + 0.12 6.30 ^ mgmt_buffers/input482/X (sky130_fd_sc_hd__clkbuf_2) + 0.08 6.38 v mgmt_buffers/_598_/Y (sky130_fd_sc_hd__clkinv_2) + 0.05 6.43 ^ mgmt_buffers/user_to_mprj_oen_buffers[6]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.43 ^ mprj/la_oenb[121] (user_project_wrapper) + 6.43 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38577_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[115] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.71 ^ soc/core/_38577_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.25 5.96 v soc/core/_38577_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.08 6.04 ^ soc/core/_21234_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.13 ^ soc/core/output411/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 6.26 ^ mgmt_buffers/input419/X (sky130_fd_sc_hd__buf_2) + 0.10 6.36 v mgmt_buffers/_604_/Y (sky130_fd_sc_hd__clkinv_4) + 0.07 6.43 ^ mgmt_buffers/user_to_mprj_oen_buffers[12]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.43 ^ mprj/la_oenb[115] (user_project_wrapper) + 6.43 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38574_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[118] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.71 ^ soc/core/_38574_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.28 5.99 ^ soc/core/_38574_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.08 6.08 v soc/core/_21243_/Y (sky130_fd_sc_hd__inv_2) + 0.11 6.19 v soc/core/output507/X (sky130_fd_sc_hd__clkbuf_4) + 0.10 6.29 v mgmt_buffers/input515/X (sky130_fd_sc_hd__clkbuf_2) + 0.12 6.41 ^ mgmt_buffers/_601_/Y (sky130_fd_sc_hd__inv_2) + 0.02 6.43 v mgmt_buffers/user_to_mprj_oen_buffers[9]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.43 v mprj/la_oenb[118] (user_project_wrapper) + 6.43 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38582_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[110] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.71 ^ soc/core/_38582_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.25 5.96 v soc/core/_38582_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.07 6.03 ^ soc/core/_21216_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.12 ^ soc/core/output416/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.26 ^ mgmt_buffers/input424/X (sky130_fd_sc_hd__buf_2) + 0.10 6.36 v mgmt_buffers/_609_/Y (sky130_fd_sc_hd__inv_2) + 0.06 6.42 ^ mgmt_buffers/user_to_mprj_oen_buffers[17]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.42 ^ mprj/la_oenb[110] (user_project_wrapper) + 6.42 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38501_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[63] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.73 ^ soc/core/_38501_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.33 6.06 ^ soc/core/_38501_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.04 6.10 v soc/core/_32231_/Y (sky130_fd_sc_hd__inv_2) + 0.10 6.20 v soc/core/output468/X (sky130_fd_sc_hd__clkbuf_4) + 0.12 6.32 v mgmt_buffers/input476/X (sky130_fd_sc_hd__buf_2) + 0.09 6.40 ^ mgmt_buffers/_656_/Y (sky130_fd_sc_hd__inv_2) + 0.02 6.42 v mgmt_buffers/user_to_mprj_oen_buffers[64]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.42 v mprj/la_oenb[63] (user_project_wrapper) + 6.42 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38518_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[46] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.75 ^ soc/core/_38518_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.29 6.04 ^ soc/core/_38518_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.02 6.07 v soc/core/_32248_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.16 v soc/core/output487/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 6.29 v mgmt_buffers/input495/X (sky130_fd_sc_hd__buf_4) + 0.11 6.40 ^ mgmt_buffers/_344_/Y (sky130_fd_sc_hd__inv_2) + 0.02 6.42 v mgmt_buffers/user_to_mprj_oen_buffers[81]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.42 v mprj/la_oenb[46] (user_project_wrapper) + 6.42 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38544_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[84] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.72 ^ soc/core/_38544_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.23 5.95 v soc/core/_38544_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.07 6.02 ^ soc/core/_21345_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.11 ^ soc/core/output445/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 6.26 ^ mgmt_buffers/input453/X (sky130_fd_sc_hd__buf_4) + 0.10 6.37 v mgmt_buffers/_635_/Y (sky130_fd_sc_hd__clkinv_2) + 0.05 6.42 ^ mgmt_buffers/user_to_mprj_oen_buffers[43]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.42 ^ mprj/la_oenb[84] (user_project_wrapper) + 6.42 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38579_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[113] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.71 ^ soc/core/_38579_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.27 5.98 v soc/core/_38579_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.07 6.06 ^ soc/core/_21228_/Y (sky130_fd_sc_hd__inv_2) + 0.08 6.14 ^ soc/core/output413/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 6.26 ^ mgmt_buffers/input421/X (sky130_fd_sc_hd__buf_2) + 0.09 6.35 v mgmt_buffers/_606_/Y (sky130_fd_sc_hd__clkinv_4) + 0.06 6.41 ^ mgmt_buffers/user_to_mprj_oen_buffers[14]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.41 ^ mprj/la_oenb[113] (user_project_wrapper) + 6.41 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38584_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[108] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.71 ^ soc/core/_38584_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 5.97 v soc/core/_38584_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.07 6.04 ^ soc/core/_21210_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.13 ^ soc/core/output418/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 6.26 ^ mgmt_buffers/input426/X (sky130_fd_sc_hd__clkbuf_2) + 0.10 6.36 v mgmt_buffers/_611_/Y (sky130_fd_sc_hd__clkinv_2) + 0.06 6.41 ^ mgmt_buffers/user_to_mprj_oen_buffers[19]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.41 ^ mprj/la_oenb[108] (user_project_wrapper) + 6.41 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38505_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[59] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.75 ^ soc/core/_38505_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.29 6.04 ^ soc/core/_38505_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.04 6.09 v soc/core/_32235_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.18 v soc/core/output472/X (sky130_fd_sc_hd__clkbuf_4) + 0.12 6.30 v mgmt_buffers/input480/X (sky130_fd_sc_hd__clkbuf_2) + 0.10 6.40 ^ mgmt_buffers/_331_/Y (sky130_fd_sc_hd__inv_2) + 0.02 6.41 v mgmt_buffers/user_to_mprj_oen_buffers[68]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.41 v mprj/la_oenb[59] (user_project_wrapper) + 6.41 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38575_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[117] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.72 ^ soc/core/_38575_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 5.98 v soc/core/_38575_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.08 6.06 ^ soc/core/_21240_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.15 ^ soc/core/output391/X (sky130_fd_sc_hd__clkbuf_4) + 0.12 6.27 ^ mgmt_buffers/input399/X (sky130_fd_sc_hd__clkbuf_2) + 0.09 6.35 v mgmt_buffers/_602_/Y (sky130_fd_sc_hd__clkinv_2) + 0.05 6.40 ^ mgmt_buffers/user_to_mprj_oen_buffers[10]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.40 ^ mprj/la_oenb[117] (user_project_wrapper) + 6.40 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38506_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[58] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.73 ^ soc/core/_38506_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.30 6.04 ^ soc/core/_38506_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.04 6.07 v soc/core/_32236_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.17 v soc/core/output473/X (sky130_fd_sc_hd__clkbuf_4) + 0.12 6.29 v mgmt_buffers/input481/X (sky130_fd_sc_hd__clkbuf_2) + 0.10 6.38 ^ mgmt_buffers/_332_/Y (sky130_fd_sc_hd__inv_2) + 0.02 6.40 v mgmt_buffers/user_to_mprj_oen_buffers[69]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.40 v mprj/la_oenb[58] (user_project_wrapper) + 6.40 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38519_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[45] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.75 ^ soc/core/_38519_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.23 5.97 v soc/core/_38519_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.04 6.02 ^ soc/core/_32249_/Y (sky130_fd_sc_hd__inv_2) + 0.08 6.10 ^ soc/core/output488/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 6.25 ^ mgmt_buffers/input496/X (sky130_fd_sc_hd__clkbuf_4) + 0.10 6.35 v mgmt_buffers/_345_/Y (sky130_fd_sc_hd__clkinv_2) + 0.05 6.40 ^ mgmt_buffers/user_to_mprj_oen_buffers[82]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.40 ^ mprj/la_oenb[45] (user_project_wrapper) + 6.40 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38583_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[109] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.70 ^ soc/core/_38583_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 5.96 v soc/core/_38583_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.07 6.03 ^ soc/core/_21213_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.11 ^ soc/core/output417/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 6.26 ^ mgmt_buffers/input425/X (sky130_fd_sc_hd__clkbuf_2) + 0.09 6.35 v mgmt_buffers/_610_/Y (sky130_fd_sc_hd__clkinv_2) + 0.05 6.40 ^ mgmt_buffers/user_to_mprj_oen_buffers[18]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.40 ^ mprj/la_oenb[109] (user_project_wrapper) + 6.40 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38521_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[43] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.75 ^ soc/core/_38521_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.24 5.98 v soc/core/_38521_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.04 6.02 ^ soc/core/_32251_/Y (sky130_fd_sc_hd__inv_2) + 0.08 6.10 ^ soc/core/output490/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 6.26 ^ mgmt_buffers/input498/X (sky130_fd_sc_hd__buf_4) + 0.08 6.34 v mgmt_buffers/_347_/Y (sky130_fd_sc_hd__inv_2) + 0.05 6.39 ^ mgmt_buffers/user_to_mprj_oen_buffers[84]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.39 ^ mprj/la_oenb[43] (user_project_wrapper) + 6.39 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38578_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[114] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.72 ^ soc/core/_38578_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.25 5.97 v soc/core/_38578_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.06 6.03 ^ soc/core/_21231_/Y (sky130_fd_sc_hd__inv_2) + 0.08 6.11 ^ soc/core/output412/X (sky130_fd_sc_hd__clkbuf_4) + 0.12 6.24 ^ mgmt_buffers/input420/X (sky130_fd_sc_hd__clkbuf_2) + 0.10 6.33 v mgmt_buffers/_605_/Y (sky130_fd_sc_hd__clkinv_2) + 0.05 6.39 ^ mgmt_buffers/user_to_mprj_oen_buffers[13]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.39 ^ mprj/la_oenb[114] (user_project_wrapper) + 6.39 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38557_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[71] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.70 ^ soc/core/_38557_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.21 5.91 v soc/core/_38557_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.06 5.98 ^ soc/core/_21301_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.06 ^ soc/core/output459/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 6.22 ^ mgmt_buffers/input467/X (sky130_fd_sc_hd__clkbuf_2) + 0.10 6.32 v mgmt_buffers/_648_/Y (sky130_fd_sc_hd__clkinv_2) + 0.05 6.37 ^ mgmt_buffers/user_to_mprj_oen_buffers[56]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.37 ^ mprj/la_oenb[71] (user_project_wrapper) + 6.37 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38587_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[105] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.71 ^ soc/core/_38587_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.27 5.98 ^ soc/core/_38587_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.05 6.03 v soc/core/_21201_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.12 v soc/core/output422/X (sky130_fd_sc_hd__clkbuf_4) + 0.12 6.24 v mgmt_buffers/input430/X (sky130_fd_sc_hd__clkbuf_2) + 0.10 6.34 ^ mgmt_buffers/_614_/Y (sky130_fd_sc_hd__inv_2) + 0.02 6.35 v mgmt_buffers/user_to_mprj_oen_buffers[22]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.35 v mprj/la_oenb[105] (user_project_wrapper) + 6.35 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38546_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[82] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.72 ^ soc/core/_38546_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.21 5.93 v soc/core/_38546_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.06 5.99 ^ soc/core/_21339_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.08 ^ soc/core/output447/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 6.21 ^ mgmt_buffers/input455/X (sky130_fd_sc_hd__clkbuf_2) + 0.08 6.30 v mgmt_buffers/_637_/Y (sky130_fd_sc_hd__inv_2) + 0.06 6.35 ^ mgmt_buffers/user_to_mprj_oen_buffers[45]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.35 ^ mprj/la_oenb[82] (user_project_wrapper) + 6.35 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38568_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[124] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 5.72 ^ soc/core/_38568_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.29 6.01 ^ soc/core/_38568_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.04 6.05 v soc/core/_21264_/Y (sky130_fd_sc_hd__inv_2) + 0.09 6.14 v soc/core/output441/X (sky130_fd_sc_hd__clkbuf_4) + 0.11 6.25 v mgmt_buffers/input449/X (sky130_fd_sc_hd__clkbuf_2) + 0.09 6.33 ^ mgmt_buffers/_595_/Y (sky130_fd_sc_hd__inv_2) + 0.02 6.35 v mgmt_buffers/user_to_mprj_oen_buffers[3]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.35 v mprj/la_oenb[124] (user_project_wrapper) + 6.35 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + diff --git a/signoff/caravel/caravel_timing_slow.log b/signoff/caravel/caravel_timing_slow.log new file mode 100644 index 00000000..6356c766 --- /dev/null +++ b/signoff/caravel/caravel_timing_slow.log @@ -0,0 +1,46255 @@ +OpenSTA 2.3.1 81ad79bb7b Copyright (c) 2019, Parallax Software, Inc. +License GPLv3: GNU GPL version 3 + +This is free software, and you are free to change and redistribute it +under certain conditions; type `show_copying' for details. +This program comes with ABSOLUTELY NO WARRANTY; for details type `show_warranty'. +Warning: /home/ma/ef/sky130A/libs.ref/sky130_fd_sc_hvl/lib/sky130_fd_sc_hvl__ss_100C_1v65_lv1v40.lib line 1, default_operating_condition ss_100C_1v65_lv1v40 not found. +Warning: /home/ma/ef/sky130A/libs.ref/sky130_fd_io/lib/sky130_ef_io__vdda_hvc_clamped_pad_ss_100C_1v60_3v00_3v00.lib line 18, library sky130_ef_io__vdda_hvc_clamped_pad_ss_100C_1v60_3v00_3v00 already exists. +Warning: ./verilog/gl/caravel.v line 49, module sky130_fd_sc_hvl__fill_2 not found. Creating black box for FILLER_0_28. +Warning: ./verilog/gl/caravel.v line 55, module sky130_fd_sc_hvl__fill_1 not found. Creating black box for FILLER_0_30. +Warning: ./verilog/gl/caravel.v line 705, module sky130_fd_sc_hd__fill_2 not found. Creating black box for FILLER_0_11. +Warning: ./verilog/gl/caravel.v line 709, module sky130_fd_sc_hd__fill_1 not found. Creating black box for FILLER_0_113. +Warning: ./verilog/gl/caravel.v line 1224, module sky130_ef_io__corner_pad not found. Creating black box for \mgmt_corner[0] . +Warning: ./verilog/gl/caravel.v line 1282, module sky130_ef_io__vddio_hvc_clamped_pad not found. Creating black box for \mgmt_vddio_hvclamp_pad[0] . +Warning: ./verilog/gl/caravel.v line 1312, module sky130_ef_io__vssa_hvc_clamped_pad not found. Creating black box for mgmt_vssa_hvclamp_pad. +Warning: ./verilog/gl/caravel.v line 1342, module sky130_ef_io__vssio_hvc_clamped_pad not found. Creating black box for \mgmt_vssio_hvclamp_pad[0] . +Warning: ./verilog/gl/caravel.v line 1973, module sky130_fd_sc_hd__tapvpwrvgnd_1 not found. Creating black box for TAP_100. +Warning: ./verilog/gl/caravel.v line 4647, module user_project_wrapper not found. Creating black box for mprj. +Warning: ./verilog/gl/caravel.v line 4766, module simple_por not found. Creating black box for por. +_a046cc2989550000_p_Instance +soc/DFFRAM_0 +_1053cc2989550000_p_Instance +soc/core +_003dc72989550000_p_Instance +soc +_30f35e2989550000_p_Instance +padframe +Warning: ./spef/chip_io.spef line 9225, syntax error, unexpected CAP, expecting KW_P or KW_I. +_e025c72989550000_p_Instance +rstb_level +_00b5c02989550000_p_Instance +pll +_d0fce72889550000_p_Instance +housekeeping +Warning: ./spef/housekeeping.spef line 29368, pwr_ctrl_out[0] not connected to net housekeeping/pwr_ctrl_out[0]. +Warning: ./spef/housekeeping.spef line 29375, pwr_ctrl_out[0] not connected to net housekeeping/pwr_ctrl_out[0]. +Warning: ./spef/housekeeping.spef line 29383, pwr_ctrl_out[1] not connected to net housekeeping/pwr_ctrl_out[1]. +Warning: ./spef/housekeeping.spef line 29390, pwr_ctrl_out[1] not connected to net housekeeping/pwr_ctrl_out[1]. +Warning: ./spef/housekeeping.spef line 29398, pwr_ctrl_out[2] not connected to net housekeeping/pwr_ctrl_out[2]. +Warning: ./spef/housekeeping.spef line 29404, pwr_ctrl_out[2] not connected to net housekeeping/pwr_ctrl_out[2]. +Warning: ./spef/housekeeping.spef line 29412, pwr_ctrl_out[3] not connected to net housekeeping/pwr_ctrl_out[3]. +Warning: ./spef/housekeeping.spef line 29420, pwr_ctrl_out[3] not connected to net housekeeping/pwr_ctrl_out[3]. +_c038ba2989550000_p_Instance +mgmt_buffers/powergood_check +_3000b42989550000_p_Instance +mgmt_buffers/mprj_logic_high_inst +_8059b32989550000_p_Instance +mgmt_buffers/mprj2_logic_high_inst +_8093312989550000_p_Instance +mgmt_buffers +_80b2862889550000_p_Instance +gpio_control_bidir_1[0] +Warning: ./spef/gpio_control_block.spef line 1360, one not connected to net gpio_control_bidir_1\[0\]/one. +Warning: ./spef/gpio_control_block.spef line 1394, one not connected to net gpio_control_bidir_1\[0\]/one. +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_bidir_1\[0\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_bidir_1\[0\]/zero. +_5002872889550000_p_Instance +gpio_control_bidir_1[1] +Warning: ./spef/gpio_control_block.spef line 1360, one not connected to net gpio_control_bidir_1\[1\]/one. +Warning: ./spef/gpio_control_block.spef line 1394, one not connected to net gpio_control_bidir_1\[1\]/one. +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_bidir_1\[1\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_bidir_1\[1\]/zero. +_c0968b2889550000_p_Instance +gpio_control_bidir_2[1] +Warning: ./spef/gpio_control_block.spef line 1360, one not connected to net gpio_control_bidir_2\[1\]/one. +Warning: ./spef/gpio_control_block.spef line 1394, one not connected to net gpio_control_bidir_2\[1\]/one. +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_bidir_2\[1\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_bidir_2\[1\]/zero. +_e01f8e2889550000_p_Instance +gpio_control_bidir_2[2] +Warning: ./spef/gpio_control_block.spef line 1360, one not connected to net gpio_control_bidir_2\[2\]/one. +Warning: ./spef/gpio_control_block.spef line 1394, one not connected to net gpio_control_bidir_2\[2\]/one. +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_bidir_2\[2\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_bidir_2\[2\]/zero. +_b0c2902889550000_p_Instance +gpio_control_in_1[0] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[0\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[0\]/zero. +_b082932889550000_p_Instance +gpio_control_in_1[10] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[10\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[10\]/zero. +_d027962889550000_p_Instance +gpio_control_in_1[1] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[1\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[1\]/zero. +_30b0982889550000_p_Instance +gpio_control_in_1[2] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[2\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[2\]/zero. +_20729a2889550000_p_Instance +gpio_control_in_1[3] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[3\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[3\]/zero. +_60f59d2889550000_p_Instance +gpio_control_in_1[4] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[4\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[4\]/zero. +_7097a02889550000_p_Instance +gpio_control_in_1[5] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[5\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[5\]/zero. +_8039a32889550000_p_Instance +gpio_control_in_1[6] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[6\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[6\]/zero. +_90dba52889550000_p_Instance +gpio_control_in_1[7] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[7\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[7\]/zero. +_a07da82889550000_p_Instance +gpio_control_in_1[8] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[8\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[8\]/zero. +_b01fab2889550000_p_Instance +gpio_control_in_1[9] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[9\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[9\]/zero. +_7093ad2889550000_p_Instance +gpio_control_in_1a[0] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1a\[0\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1a\[0\]/zero. +_8060b02889550000_p_Instance +gpio_control_in_1a[1] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1a\[1\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1a\[1\]/zero. +_6002b32889550000_p_Instance +gpio_control_in_1a[2] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1a\[2\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1a\[2\]/zero. +_40a4b52889550000_p_Instance +gpio_control_in_1a[3] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1a\[3\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1a\[3\]/zero. +_e01bb92889550000_p_Instance +gpio_control_in_1a[4] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1a\[4\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1a\[4\]/zero. +_20ebba2889550000_p_Instance +gpio_control_in_1a[5] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1a\[5\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1a\[5\]/zero. +_b05ebd2889550000_p_Instance +gpio_control_in_2[0] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[0\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[0\]/zero. +_a048c02889550000_p_Instance +gpio_control_in_2[10] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[10\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[10\]/zero. +_e0edc22889550000_p_Instance +gpio_control_in_2[11] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[11\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[11\]/zero. +_4076c52889550000_p_Instance +gpio_control_in_2[12] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[12\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[12\]/zero. +_5018c82889550000_p_Instance +gpio_control_in_2[13] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[13\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[13\]/zero. +_60baca2889550000_p_Instance +gpio_control_in_2[14] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[14\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[14\]/zero. +_805ccd2889550000_p_Instance +gpio_control_in_2[15] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[15\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[15\]/zero. +_40d0cf2889550000_p_Instance +gpio_control_in_2[1] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[1\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[1\]/zero. +_509dd22889550000_p_Instance +gpio_control_in_2[2] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[2\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[2\]/zero. +_503fd52889550000_p_Instance +gpio_control_in_2[3] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[3\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[3\]/zero. +_50e1d72889550000_p_Instance +gpio_control_in_2[4] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[4\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[4\]/zero. +_5083da2889550000_p_Instance +gpio_control_in_2[5] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[5\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[5\]/zero. +_5025dd2889550000_p_Instance +gpio_control_in_2[6] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[6\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[6\]/zero. +_50c7df2889550000_p_Instance +gpio_control_in_2[7] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[7\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[7\]/zero. +_5069e22889550000_p_Instance +gpio_control_in_2[8] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[8\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[8\]/zero. +_500be52889550000_p_Instance +gpio_control_in_2[9] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[9\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[9\]/zero. +_60ff271e89550000_p_Instance +gpio_defaults_block_0 +Warning: ./spef/gpio_defaults_block_1803.spef line 114, gpio_defaults[0] not connected to net gpio_defaults_block_0/gpio_defaults_low\[0\]. +Warning: ./spef/gpio_defaults_block_1803.spef line 118, gpio_defaults[0] not connected to net gpio_defaults_block_0/gpio_defaults_low\[0\]. +Warning: ./spef/gpio_defaults_block_1803.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_0/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block_1803.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_0/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block_1803.spef line 139, gpio_defaults[11] not connected to net gpio_defaults_block_0/gpio_defaults_low\[11\]. +Warning: ./spef/gpio_defaults_block_1803.spef line 144, gpio_defaults[11] not connected to net gpio_defaults_block_0/gpio_defaults_low\[11\]. +Warning: ./spef/gpio_defaults_block_1803.spef line 152, gpio_defaults[12] not connected to net gpio_defaults_block_0/gpio_defaults_low\[12\]. +Warning: ./spef/gpio_defaults_block_1803.spef line 156, gpio_defaults[12] not connected to net gpio_defaults_block_0/gpio_defaults_low\[12\]. +_e001782889550000_p_Instance +gpio_defaults_block_1 +Warning: ./spef/gpio_defaults_block_1803.spef line 114, gpio_defaults[0] not connected to net gpio_defaults_block_1/gpio_defaults_low\[0\]. +Warning: ./spef/gpio_defaults_block_1803.spef line 118, gpio_defaults[0] not connected to net gpio_defaults_block_1/gpio_defaults_low\[0\]. +Warning: ./spef/gpio_defaults_block_1803.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_1/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block_1803.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_1/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block_1803.spef line 139, gpio_defaults[11] not connected to net gpio_defaults_block_1/gpio_defaults_low\[11\]. +Warning: ./spef/gpio_defaults_block_1803.spef line 144, gpio_defaults[11] not connected to net gpio_defaults_block_1/gpio_defaults_low\[11\]. +Warning: ./spef/gpio_defaults_block_1803.spef line 152, gpio_defaults[12] not connected to net gpio_defaults_block_1/gpio_defaults_low\[12\]. +Warning: ./spef/gpio_defaults_block_1803.spef line 156, gpio_defaults[12] not connected to net gpio_defaults_block_1/gpio_defaults_low\[12\]. +_d0e87d2889550000_p_Instance +gpio_defaults_block_2 +Warning: ./spef/gpio_defaults_block_0403.spef line 114, gpio_defaults[0] not connected to net gpio_defaults_block_2/gpio_defaults_low\[0\]. +Warning: ./spef/gpio_defaults_block_0403.spef line 118, gpio_defaults[0] not connected to net gpio_defaults_block_2/gpio_defaults_low\[0\]. +_a04a7e2889550000_p_Instance +gpio_defaults_block_3 +Warning: ./spef/gpio_defaults_block_0403.spef line 114, gpio_defaults[0] not connected to net gpio_defaults_block_3/gpio_defaults_low\[0\]. +Warning: ./spef/gpio_defaults_block_0403.spef line 118, gpio_defaults[0] not connected to net gpio_defaults_block_3/gpio_defaults_low\[0\]. +_70ac7e2889550000_p_Instance +gpio_defaults_block_4 +Warning: ./spef/gpio_defaults_block_0403.spef line 114, gpio_defaults[0] not connected to net gpio_defaults_block_4/gpio_defaults_low\[0\]. +Warning: ./spef/gpio_defaults_block_0403.spef line 118, gpio_defaults[0] not connected to net gpio_defaults_block_4/gpio_defaults_low\[0\]. +_70c9842889550000_p_Instance +gpio_defaults_block_5 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_5/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_5/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_5/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_5/gpio_defaults_high\[1\]. +_402b852889550000_p_Instance +gpio_defaults_block_6 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_6/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_6/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_6/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_6/gpio_defaults_high\[1\]. +_108d852889550000_p_Instance +gpio_defaults_block_7 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_7/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_7/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_7/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_7/gpio_defaults_high\[1\]. +_e0ee852889550000_p_Instance +gpio_defaults_block_8 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_8/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_8/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_8/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_8/gpio_defaults_high\[1\]. +_b050862889550000_p_Instance +gpio_defaults_block_9 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_9/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_9/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_9/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_9/gpio_defaults_high\[1\]. +_f0f5782889550000_p_Instance +gpio_defaults_block_10 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_10/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_10/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_10/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_10/gpio_defaults_high\[1\]. +_d056792889550000_p_Instance +gpio_defaults_block_11 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_11/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_11/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_11/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_11/gpio_defaults_high\[1\]. +_b0b7792889550000_p_Instance +gpio_defaults_block_12 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_12/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_12/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_12/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_12/gpio_defaults_high\[1\]. +_90187a2889550000_p_Instance +gpio_defaults_block_13 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_13/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_13/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_13/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_13/gpio_defaults_high\[1\]. +_70797a2889550000_p_Instance +gpio_defaults_block_14 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_14/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_14/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_14/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_14/gpio_defaults_high\[1\]. +_50da7a2889550000_p_Instance +gpio_defaults_block_15 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_15/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_15/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_15/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_15/gpio_defaults_high\[1\]. +_203c7b2889550000_p_Instance +gpio_defaults_block_16 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_16/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_16/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_16/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_16/gpio_defaults_high\[1\]. +_f09d7b2889550000_p_Instance +gpio_defaults_block_17 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_17/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_17/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_17/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_17/gpio_defaults_high\[1\]. +_c0ff7b2889550000_p_Instance +gpio_defaults_block_18 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_18/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_18/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_18/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_18/gpio_defaults_high\[1\]. +_90617c2889550000_p_Instance +gpio_defaults_block_19 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_19/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_19/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_19/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_19/gpio_defaults_high\[1\]. +_60c37c2889550000_p_Instance +gpio_defaults_block_20 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_20/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_20/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_20/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_20/gpio_defaults_high\[1\]. +_30257d2889550000_p_Instance +gpio_defaults_block_21 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_21/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_21/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_21/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_21/gpio_defaults_high\[1\]. +_00877d2889550000_p_Instance +gpio_defaults_block_22 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_22/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_22/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_22/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_22/gpio_defaults_high\[1\]. +_400e7f2889550000_p_Instance +gpio_defaults_block_23 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_23/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_23/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_23/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_23/gpio_defaults_high\[1\]. +_10707f2889550000_p_Instance +gpio_defaults_block_24 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_24/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_24/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_24/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_24/gpio_defaults_high\[1\]. +_e0d17f2889550000_p_Instance +gpio_defaults_block_25 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_25/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_25/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_25/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_25/gpio_defaults_high\[1\]. +_b033802889550000_p_Instance +gpio_defaults_block_26 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_26/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_26/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_26/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_26/gpio_defaults_high\[1\]. +_8095802889550000_p_Instance +gpio_defaults_block_27 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_27/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_27/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_27/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_27/gpio_defaults_high\[1\]. +_50f7802889550000_p_Instance +gpio_defaults_block_28 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_28/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_28/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_28/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_28/gpio_defaults_high\[1\]. +_2059812889550000_p_Instance +gpio_defaults_block_29 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_29/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_29/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_29/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_29/gpio_defaults_high\[1\]. +_f0ba812889550000_p_Instance +gpio_defaults_block_30 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_30/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_30/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_30/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_30/gpio_defaults_high\[1\]. +_c01c822889550000_p_Instance +gpio_defaults_block_31 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_31/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_31/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_31/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_31/gpio_defaults_high\[1\]. +_907e822889550000_p_Instance +gpio_defaults_block_32 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_32/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_32/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_32/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_32/gpio_defaults_high\[1\]. +_60e0822889550000_p_Instance +gpio_defaults_block_33 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_33/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_33/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_33/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_33/gpio_defaults_high\[1\]. +_3042832889550000_p_Instance +gpio_defaults_block_34 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_34/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_34/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_34/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_34/gpio_defaults_high\[1\]. +_00a4832889550000_p_Instance +gpio_defaults_block_35 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_35/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_35/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_35/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_35/gpio_defaults_high\[1\]. +_d005842889550000_p_Instance +gpio_defaults_block_36 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_36/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_36/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_36/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_36/gpio_defaults_high\[1\]. +_a067842889550000_p_Instance +gpio_defaults_block_37 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_37/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_37/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_37/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_37/gpio_defaults_high\[1\]. +Warning: ./spef/caravel.spef line 4115, *2810 not connected to net gpio_clock_1\[0\]. +Warning: ./spef/caravel.spef line 4116, *2803 not connected to net gpio_clock_1\[0\]. +Warning: ./spef/caravel.spef line 4187, *2803 not connected to net gpio_clock_1\[0\]. +Warning: ./spef/caravel.spef line 4194, *2810 not connected to net gpio_clock_1\[0\]. +Warning: ./spef/caravel.spef line 4218, *2805 not connected to net gpio_clock_1\[10\]. +Warning: ./spef/caravel.spef line 4219, *2804 not connected to net gpio_clock_1\[10\]. +Warning: ./spef/caravel.spef line 4286, *2804 not connected to net gpio_clock_1\[10\]. +Warning: ./spef/caravel.spef line 4298, *2805 not connected to net gpio_clock_1\[10\]. +Warning: ./spef/caravel.spef line 4323, *2806 not connected to net gpio_clock_1\[11\]. +Warning: ./spef/caravel.spef line 4324, *2805 not connected to net gpio_clock_1\[11\]. +Warning: ./spef/caravel.spef line 4415, *2805 not connected to net gpio_clock_1\[11\]. +Warning: ./spef/caravel.spef line 4423, *2806 not connected to net gpio_clock_1\[11\]. +Warning: ./spef/caravel.spef line 4443, *2807 not connected to net gpio_clock_1\[12\]. +Warning: ./spef/caravel.spef line 4446, *2806 not connected to net gpio_clock_1\[12\]. +Warning: ./spef/caravel.spef line 4502, *2806 not connected to net gpio_clock_1\[12\]. +Warning: ./spef/caravel.spef line 4519, *2807 not connected to net gpio_clock_1\[12\]. +Warning: ./spef/caravel.spef line 4531, *2808 not connected to net gpio_clock_1\[13\]. +Warning: ./spef/caravel.spef line 4532, *2807 not connected to net gpio_clock_1\[13\]. +Warning: ./spef/caravel.spef line 4594, *2807 not connected to net gpio_clock_1\[13\]. +Warning: ./spef/caravel.spef line 4603, *2808 not connected to net gpio_clock_1\[13\]. +Warning: ./spef/caravel.spef line 4629, *2809 not connected to net gpio_clock_1\[14\]. +Warning: ./spef/caravel.spef line 4630, *2808 not connected to net gpio_clock_1\[14\]. +Warning: ./spef/caravel.spef line 4685, *2808 not connected to net gpio_clock_1\[14\]. +Warning: ./spef/caravel.spef line 4688, *2809 not connected to net gpio_clock_1\[14\]. +Warning: ./spef/caravel.spef line 4710, *2783 not connected to net gpio_clock_1\[15\]. +Warning: ./spef/caravel.spef line 4711, *2809 not connected to net gpio_clock_1\[15\]. +Warning: ./spef/caravel.spef line 4904, *2809 not connected to net gpio_clock_1\[15\]. +Warning: ./spef/caravel.spef line 4906, *2783 not connected to net gpio_clock_1\[15\]. +Warning: ./spef/caravel.spef line 4935, *2784 not connected to net gpio_clock_1\[16\]. +Warning: ./spef/caravel.spef line 4936, *2783 not connected to net gpio_clock_1\[16\]. +Warning: ./spef/caravel.spef line 5008, *2783 not connected to net gpio_clock_1\[16\]. +Warning: ./spef/caravel.spef line 5009, *2784 not connected to net gpio_clock_1\[16\]. +Warning: ./spef/caravel.spef line 5022, *2784 not connected to net gpio_clock_1\[17\]. +Warning: ./spef/caravel.spef line 5023, *2785 not connected to net gpio_clock_1\[17\]. +Warning: ./spef/caravel.spef line 5121, *2785 not connected to net gpio_clock_1\[17\]. +Warning: ./spef/caravel.spef line 5127, *2784 not connected to net gpio_clock_1\[17\]. +Warning: ./spef/caravel.spef line 5137, *2785 not connected to net gpio_clock_1\[18\]. +Warning: ./spef/caravel.spef line 5190, *2785 not connected to net gpio_clock_1\[18\]. +Warning: ./spef/caravel.spef line 5202, *2811 not connected to net gpio_clock_1\[1\]. +Warning: ./spef/caravel.spef line 5203, *2810 not connected to net gpio_clock_1\[1\]. +Warning: ./spef/caravel.spef line 5289, *2810 not connected to net gpio_clock_1\[1\]. +Warning: ./spef/caravel.spef line 5297, *2811 not connected to net gpio_clock_1\[1\]. +Warning: ./spef/caravel.spef line 5321, *2812 not connected to net gpio_clock_1\[2\]. +Warning: ./spef/caravel.spef line 5324, *2811 not connected to net gpio_clock_1\[2\]. +Warning: ./spef/caravel.spef line 5411, *2811 not connected to net gpio_clock_1\[2\]. +Warning: ./spef/caravel.spef line 5434, *2812 not connected to net gpio_clock_1\[2\]. +Warning: ./spef/caravel.spef line 5446, *2813 not connected to net gpio_clock_1\[3\]. +Warning: ./spef/caravel.spef line 5447, *2812 not connected to net gpio_clock_1\[3\]. +Warning: ./spef/caravel.spef line 5504, *2812 not connected to net gpio_clock_1\[3\]. +Warning: ./spef/caravel.spef line 5511, *2813 not connected to net gpio_clock_1\[3\]. +Warning: ./spef/caravel.spef line 5535, *2814 not connected to net gpio_clock_1\[4\]. +Warning: ./spef/caravel.spef line 5536, *2813 not connected to net gpio_clock_1\[4\]. +Warning: ./spef/caravel.spef line 5599, *2813 not connected to net gpio_clock_1\[4\]. +Warning: ./spef/caravel.spef line 5611, *2814 not connected to net gpio_clock_1\[4\]. +Warning: ./spef/caravel.spef line 5630, *2815 not connected to net gpio_clock_1\[5\]. +Warning: ./spef/caravel.spef line 5631, *2814 not connected to net gpio_clock_1\[5\]. +Warning: ./spef/caravel.spef line 5713, *2814 not connected to net gpio_clock_1\[5\]. +Warning: ./spef/caravel.spef line 5719, *2815 not connected to net gpio_clock_1\[5\]. +Warning: ./spef/caravel.spef line 5737, *2814 not connected to net gpio_clock_1\[5\]. +Warning: ./spef/caravel.spef line 5749, *2816 not connected to net gpio_clock_1\[6\]. +Warning: ./spef/caravel.spef line 5750, *2815 not connected to net gpio_clock_1\[6\]. +Warning: ./spef/caravel.spef line 5835, *2815 not connected to net gpio_clock_1\[6\]. +Warning: ./spef/caravel.spef line 5845, *2816 not connected to net gpio_clock_1\[6\]. +Warning: ./spef/caravel.spef line 5859, *2815 not connected to net gpio_clock_1\[6\]. +Warning: ./spef/caravel.spef line 5871, *2817 not connected to net gpio_clock_1\[7\]. +Warning: ./spef/caravel.spef line 5872, *2816 not connected to net gpio_clock_1\[7\]. +Warning: ./spef/caravel.spef line 5970, *2816 not connected to net gpio_clock_1\[7\]. +Warning: ./spef/caravel.spef line 5977, *2817 not connected to net gpio_clock_1\[7\]. +Warning: ./spef/caravel.spef line 6002, *2818 not connected to net gpio_clock_1\[8\]. +Warning: ./spef/caravel.spef line 6003, *2817 not connected to net gpio_clock_1\[8\]. +Warning: ./spef/caravel.spef line 6077, *2817 not connected to net gpio_clock_1\[8\]. +Warning: ./spef/caravel.spef line 6082, *2818 not connected to net gpio_clock_1\[8\]. +Warning: ./spef/caravel.spef line 6107, *2804 not connected to net gpio_clock_1\[9\]. +Warning: ./spef/caravel.spef line 6108, *2818 not connected to net gpio_clock_1\[9\]. +Warning: ./spef/caravel.spef line 6166, *2818 not connected to net gpio_clock_1\[9\]. +Warning: ./spef/caravel.spef line 6168, *2804 not connected to net gpio_clock_1\[9\]. +Warning: ./spef/caravel.spef line 6189, *2803 not connected to net gpio_clock_1_shifted\[0\]. +Warning: ./spef/caravel.spef line 6233, *2803 not connected to net gpio_clock_1_shifted\[0\]. +Warning: ./spef/caravel.spef line 6239, instance gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 6243, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 6248, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 6253, instance gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 6257, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 6265, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 6270, instance gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 6274, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 6284, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 6289, instance gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 6293, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 6302, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 6307, instance gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 6311, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 6318, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 6323, instance gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6327, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6335, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6340, instance gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6344, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6351, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6356, instance gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6360, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6369, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6374, instance gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6378, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6385, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6390, instance gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6394, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6400, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6405, instance gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6409, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6414, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6419, instance gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 6423, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 6432, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 6437, instance gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6441, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6445, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6450, instance gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6454, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6460, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6465, instance gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6469, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6476, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6481, instance gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6485, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6492, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6497, instance gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6501, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6508, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6513, instance gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6517, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6525, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6530, instance gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6534, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6539, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6544, instance gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6548, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6554, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6561, instance gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6565, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6573, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6578, instance gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6582, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6591, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6596, instance gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 6600, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 6608, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 6613, instance gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6617, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6625, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6630, instance gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6634, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6641, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6646, instance gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6650, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6655, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6660, instance gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6664, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6668, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6673, instance gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6677, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6683, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6688, instance gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6692, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6699, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6704, instance gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6708, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6715, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6720, instance gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6724, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6730, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6735, instance gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6739, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6746, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6751, instance gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6755, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6761, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6766, instance gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 6770, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 6777, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 6782, instance gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6786, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6792, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6797, instance gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6801, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6809, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6814, instance gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6818, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6826, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6831, instance gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6835, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6843, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6848, instance gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6852, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6858, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6863, instance gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6867, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6873, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6878, instance gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6882, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6886, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6891, instance gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6895, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6901, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6906, instance gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6910, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6916, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6921, instance gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6925, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6931, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6936, instance gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 6940, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 6946, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 6953, instance gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6957, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6963, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6968, instance gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6972, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6978, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6983, instance gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6987, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6993, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6998, instance gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7002, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7007, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7012, instance gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7016, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7022, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7027, instance gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7031, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7039, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7044, instance gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7048, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7055, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7060, instance gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7064, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7071, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7076, instance gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7080, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7085, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7090, instance gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7094, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7098, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7103, instance gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7107, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7114, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7119, instance gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7123, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7129, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7134, instance gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7138, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7144, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7149, instance gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7153, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7159, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7164, instance gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7168, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7174, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7179, instance gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7183, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7191, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7196, instance gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7200, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7205, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7211, instance gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7215, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7221, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7226, instance gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7230, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7239, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7244, instance gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7248, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7256, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7261, instance gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7265, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7273, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7278, instance gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7282, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7288, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7293, instance gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7297, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7304, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7309, instance gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7313, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7320, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7325, instance gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7329, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7333, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7338, instance gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7342, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7348, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7353, instance gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7357, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7364, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7369, instance gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7373, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7381, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7386, instance gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7390, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7397, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7402, instance gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7406, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7413, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7418, instance gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7422, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7428, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7433, instance gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7437, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7443, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7448, instance gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7452, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7460, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7465, instance gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7469, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7477, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7482, instance gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7486, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7494, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7499, instance gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7503, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7511, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7516, instance gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7520, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7526, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7531, instance gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7535, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7541, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7546, instance gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7550, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7554, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7559, instance gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7563, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7569, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7574, instance gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7578, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7584, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7589, instance gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7593, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7599, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7604, instance gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7608, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7614, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7619, instance gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7623, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7629, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7634, instance gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7638, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7644, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7649, instance gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7653, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7659, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7664, instance gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7668, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7674, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7681, instance gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7685, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7692, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7697, instance gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7701, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7710, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7715, instance gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7719, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7726, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7731, instance gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7735, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7742, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7747, instance gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7751, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7756, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7761, instance gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7765, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7769, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7774, instance gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7778, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7784, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7789, instance gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7793, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7799, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7804, instance gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7808, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7815, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7820, instance gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7824, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7830, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7835, instance gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7839, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7846, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7851, instance gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7855, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7861, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7866, instance gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7870, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7877, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7882, instance gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7886, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7889, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7894, instance gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7898, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7902, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7907, instance gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7911, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7915, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7920, instance gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7924, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7928, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7933, instance gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7937, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7941, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7946, instance gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7950, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7954, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7959, instance gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 7963, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 7969, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 7974, instance gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7978, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7982, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7987, instance gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7991, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7995, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8000, instance gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8004, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8008, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8013, instance gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8017, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8021, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8026, instance gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8030, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8034, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8039, instance gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8043, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8047, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8052, instance gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8056, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8060, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8065, instance gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8069, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8072, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8077, instance gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8081, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8084, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8089, instance gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8093, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8097, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8102, instance gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8106, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8112, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8117, instance gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8121, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8125, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8130, instance gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8134, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8138, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8143, instance gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8147, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8151, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8156, instance gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8160, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8164, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8169, instance gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8173, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8177, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8182, instance gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8186, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8190, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8195, instance gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8199, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8203, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8208, instance gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8212, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8216, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8221, instance gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8225, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8229, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8234, instance gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8238, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8242, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8247, instance gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8251, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8257, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8262, instance gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8266, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8269, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8274, instance gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8278, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8281, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8286, instance gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8290, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8294, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8299, instance gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8303, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8307, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8312, instance gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8316, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8320, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8325, instance gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8329, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8333, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8338, instance gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8342, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8346, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8351, instance gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8355, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8359, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8364, instance gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8368, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8372, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8377, instance gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8381, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8385, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8390, instance gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8394, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8400, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8405, instance gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8409, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8413, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8418, instance gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8422, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8426, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8431, instance gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8435, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8439, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8444, instance gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8448, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8451, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8456, instance gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8460, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8463, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8468, instance gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8472, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8476, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8481, instance gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8485, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8489, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8494, instance gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8498, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8502, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8507, instance gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8511, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8515, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8520, instance gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8524, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8528, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8533, instance gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8537, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8544, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8549, instance gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8553, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8557, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8562, instance gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8566, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8570, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8575, instance gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8579, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8583, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8588, instance gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8592, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8596, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8601, instance gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8605, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8609, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8614, instance gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8618, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8622, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8627, instance gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8631, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8634, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8639, instance gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8643, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8646, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8651, instance gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8655, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8659, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8664, instance gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8668, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8672, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8677, instance gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8681, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8685, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8690, instance gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8694, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8698, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8703, instance gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8707, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8711, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8716, instance gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8720, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8724, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8729, instance gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8733, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8737, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8742, instance gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8746, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8750, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8755, instance gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8759, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8763, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8768, instance gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8772, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8776, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8781, instance gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8785, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8789, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8794, instance gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8798, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8802, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8807, instance gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8811, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8814, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8819, instance gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8823, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8829, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8834, instance gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8838, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8841, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8846, instance gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8850, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8854, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8859, instance gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8863, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8867, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8872, instance gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8876, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8880, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8885, instance gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8889, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8893, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8898, instance gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8902, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8906, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8911, instance gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8915, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8919, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8924, instance gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8928, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8932, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8937, instance gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8941, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8945, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8950, instance gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8954, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8958, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8963, instance gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 8967, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 8972, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 8977, instance gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8981, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8985, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8990, instance gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8994, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8998, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 9003, instance gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 9007, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 9010, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 9015, instance gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9019, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9022, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9027, instance gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9031, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9035, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9040, instance gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9044, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9048, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9053, instance gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9057, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9061, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9066, instance gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9070, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9074, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9079, instance gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9083, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9087, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9092, instance gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9096, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9100, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9105, instance gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9109, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9117, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9122, instance gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9126, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9130, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9135, instance gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9139, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9143, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9148, instance gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9152, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9156, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9161, instance gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9165, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9169, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9174, instance gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9178, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9182, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9187, instance gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9191, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9194, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9199, instance gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9203, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9210, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9215, instance gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9219, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9222, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9227, instance gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9231, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9239, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9244, instance gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9248, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9255, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9260, instance gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9264, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9268, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9273, instance gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9277, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9281, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9286, instance gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9290, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9294, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9299, instance gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9303, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9307, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9312, instance gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9316, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9320, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9325, instance gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9329, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9333, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9338, instance gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9342, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9346, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9351, instance gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9355, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9359, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9364, instance gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9368, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9372, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9377, instance gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9381, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9385, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9390, instance gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9394, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9400, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9405, instance gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9409, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9413, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9418, instance gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9422, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9425, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9430, instance gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9434, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9437, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9442, instance gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9446, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9450, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9455, instance gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9459, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9463, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9468, instance gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9472, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9476, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9481, instance gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9485, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9489, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9494, instance gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9498, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9502, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9507, instance gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9511, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9515, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9520, instance gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9524, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9528, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9533, instance gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9537, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9543, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9548, instance gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9552, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9556, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9561, instance gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9565, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9569, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9574, instance gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9578, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9582, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9587, instance gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9591, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9595, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9600, instance gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9604, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9607, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9612, instance gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9616, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9620, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9625, instance gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9629, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9633, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9638, instance gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9642, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9646, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9651, instance gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9655, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9659, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9664, instance gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9668, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9672, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9677, instance gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9681, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9685, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9690, instance gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9694, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9698, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9703, instance gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9707, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9711, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9716, instance gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9720, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9724, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9729, instance gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9733, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9737, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9742, instance gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9746, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9750, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9755, instance gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9759, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9763, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9768, instance gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9772, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9776, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9781, instance gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9785, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9788, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9793, instance gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9797, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9800, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9805, instance gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9809, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9813, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9818, instance gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9822, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9828, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9833, instance gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9837, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9841, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9846, instance gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9850, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9854, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9859, instance gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9863, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9867, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9872, instance gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9876, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9880, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9885, instance gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9889, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9893, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9898, instance gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9902, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9906, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9911, instance gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9915, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9919, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9924, instance gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9928, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9932, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9937, instance gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9941, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9945, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9950, instance gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9954, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9958, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9963, instance gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9967, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9973, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9978, instance gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9982, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9985, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9990, instance gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 9994, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 9997, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10002, instance gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10006, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10010, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10015, instance gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10019, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10023, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10028, instance gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10032, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10036, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10041, instance gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10045, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10049, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10054, instance gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10058, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10062, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10067, instance gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10071, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10075, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10080, instance gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10084, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10088, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10093, instance gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10097, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10101, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10106, instance gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 10110, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 10117, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 10122, instance gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10126, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10130, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10135, instance gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10139, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10143, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10148, instance gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10152, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10156, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10161, instance gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10165, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10168, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10173, instance gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10177, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10180, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10185, instance gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10189, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10193, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10198, instance gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10202, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10206, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10211, instance gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10215, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10219, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10224, instance gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10228, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10232, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10237, instance gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10241, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10245, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10250, instance gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 10254, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 10260, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 10265, instance gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10269, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10273, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10278, instance gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10282, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10286, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10291, instance gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10295, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10299, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10304, instance gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10308, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10312, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10317, instance gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10321, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10325, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10330, instance gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10334, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10338, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10343, instance gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10347, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10350, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10355, instance gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10359, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10363, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10368, instance gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10372, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10376, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10381, instance gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10385, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10389, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10394, instance gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 10398, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 10404, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 10409, instance gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10413, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10417, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10422, instance gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10426, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10430, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10435, instance gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10439, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10443, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10448, instance gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10452, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10456, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10461, instance gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10465, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10469, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10474, instance gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10478, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10482, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10487, instance gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10491, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10495, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10500, instance gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10504, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10508, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10513, instance gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10517, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10521, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10526, instance gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10530, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10533, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10538, instance gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 10542, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 10547, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 10552, instance gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10556, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10560, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10565, instance gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10569, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10573, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10578, instance gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10582, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10586, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10591, instance gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10595, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10599, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10604, instance gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10608, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10612, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10617, instance gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10621, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10625, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10630, instance gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10634, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10638, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10643, instance gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10647, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10651, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10656, instance gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10660, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10664, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10669, instance gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10673, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10677, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10682, instance gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 10686, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 10690, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 10695, instance gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 10699, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 10707, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 10712, instance gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10716, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10720, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10725, instance gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10729, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10733, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10738, instance gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10742, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10745, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10750, instance gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10754, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10757, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10762, instance gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10766, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10770, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10775, instance gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10779, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10783, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10788, instance gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10792, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10796, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10801, instance gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10805, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10809, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10814, instance gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10818, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10822, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10827, instance gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10831, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10835, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10840, instance gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 10844, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 10851, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 10856, instance gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10860, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10864, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10869, instance gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10873, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10877, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10882, instance gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10886, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10890, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10895, instance gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10899, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10903, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10908, instance gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10912, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10916, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10921, instance gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10925, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10928, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10933, instance gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 10937, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 10940, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 10945, instance gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 10949, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 10953, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 10958, instance gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 10962, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 10966, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 10971, instance gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 10975, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 10979, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 10984, instance gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 10988, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 10996, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11001, instance gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11005, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11009, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11014, instance gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11018, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11022, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11027, instance gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11031, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11035, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11040, instance gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11044, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11048, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11053, instance gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11057, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11061, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11066, instance gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11070, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11074, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11079, instance gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11083, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11087, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11092, instance gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11096, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11100, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11105, instance gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11109, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11112, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11117, instance gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11121, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11124, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11129, instance gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11133, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11141, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11146, instance gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11150, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11154, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11159, instance gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11163, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11167, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11172, instance gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11176, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11180, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11185, instance gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11189, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11193, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11198, instance gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11202, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11206, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11211, instance gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11215, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11219, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11224, instance gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11228, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11232, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11237, instance gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11241, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11245, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11250, instance gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11254, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11258, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11263, instance gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11267, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11271, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11276, instance gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11280, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11286, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11291, instance gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11295, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11299, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11304, instance gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11308, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11311, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11316, instance gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11320, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11323, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11328, instance gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11332, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11336, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11341, instance gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11345, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11349, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11354, instance gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11358, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11362, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11367, instance gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11371, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11375, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11380, instance gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11384, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11388, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11393, instance gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11397, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11401, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11406, instance gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11410, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11414, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11419, instance gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11423, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11428, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11433, instance gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11437, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11441, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11446, instance gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11450, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11454, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11459, instance gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11463, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11467, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11472, instance gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11476, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11480, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11485, instance gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11489, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11492, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11497, instance gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11501, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11504, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11509, instance gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11513, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11517, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11522, instance gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11526, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11530, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11535, instance gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11539, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11543, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11548, instance gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11552, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11556, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11561, instance gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11565, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11569, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11574, instance gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11578, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11582, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11587, instance gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11591, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11595, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11600, instance gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11604, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11608, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11613, instance gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11617, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11621, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11626, instance gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11630, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11634, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11639, instance gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11643, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11647, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11652, instance gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11656, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11660, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11665, instance gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11669, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11672, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11677, instance gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11681, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11685, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11690, instance gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11694, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11696, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11701, instance gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11705, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11711, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11716, instance gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11720, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11722, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11727, instance gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11731, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11733, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11738, instance gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11742, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11744, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11749, instance gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11753, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11755, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11760, instance gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11764, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11766, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11771, instance gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11775, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11777, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11782, instance gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11786, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11788, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11793, instance gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11797, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11799, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11804, instance gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11808, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11810, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11815, instance gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11819, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11821, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11826, instance gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11830, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11837, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11842, instance gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11846, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11848, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11853, instance gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11857, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11860, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11865, instance gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11869, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11873, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11878, instance gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11882, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11886, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11891, instance gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11895, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11899, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11904, instance gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11908, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11912, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11917, instance gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11921, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11925, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11930, instance gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11934, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11938, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11943, instance gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11947, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11951, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11956, instance gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11960, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11964, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11969, instance gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11973, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11979, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11984, instance gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11988, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11992, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11997, instance gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 12001, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 12005, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 12010, instance gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 12014, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 12018, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 12023, instance gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 12027, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 12030, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 12035, instance gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 12039, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 12045, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 12050, instance gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12054, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12061, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12066, instance gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 12070, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 12077, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 12082, instance gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 12086, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 12090, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 12095, instance gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12099, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12104, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12109, instance gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12113, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12119, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12124, instance gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12128, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12133, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12138, instance gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12142, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12150, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12155, instance gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12159, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12165, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12170, instance gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12174, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12180, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12185, instance gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12189, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12193, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12198, instance gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12202, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12208, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12213, instance gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12217, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12223, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12228, instance gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12232, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12238, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12243, instance gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12247, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12253, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12258, instance gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12262, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12268, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12273, instance gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12277, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12283, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12288, instance gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12292, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12296, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12301, instance gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12305, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12310, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12315, instance gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12319, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12326, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12331, instance gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12335, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12341, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12346, instance gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12350, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12358, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12363, instance gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12367, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12373, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12378, instance gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12382, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12386, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12391, instance gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12395, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12401, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12406, instance gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12410, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12414, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12419, instance gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12423, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12429, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12434, instance gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12438, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12444, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12449, instance gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12453, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12459, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12464, instance gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12468, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12475, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12480, instance gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12484, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12489, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12494, instance gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12498, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12504, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12509, instance gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12513, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12519, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12524, instance gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12528, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12536, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12541, instance gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12545, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12551, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12556, instance gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12560, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12568, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12573, instance gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12577, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12585, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12590, instance gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12594, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12600, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12605, instance gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12609, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12614, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12619, instance gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12623, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12627, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12632, instance gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12636, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12642, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12647, instance gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12651, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12658, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12663, instance gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12667, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12674, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12679, instance gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12683, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12689, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12694, instance gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12698, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12705, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12710, instance gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12714, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12720, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12725, instance gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12729, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12733, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12738, instance gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12742, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12749, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12754, instance gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12758, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12764, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12769, instance gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12773, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12781, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12786, instance gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12790, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12799, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12804, instance gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12808, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12816, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12821, instance gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12825, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12831, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12836, instance gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12840, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12844, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12849, instance gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12853, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12859, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12864, instance gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12868, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12874, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12879, instance gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12883, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12890, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12953, *2810 not connected to net gpio_load_1\[0\]. +Warning: ./spef/caravel.spef line 12954, *2803 not connected to net gpio_load_1\[0\]. +Warning: ./spef/caravel.spef line 13023, *2803 not connected to net gpio_load_1\[0\]. +Warning: ./spef/caravel.spef line 13029, *2810 not connected to net gpio_load_1\[0\]. +Warning: ./spef/caravel.spef line 13051, *2805 not connected to net gpio_load_1\[10\]. +Warning: ./spef/caravel.spef line 13054, *2804 not connected to net gpio_load_1\[10\]. +Warning: ./spef/caravel.spef line 13171, *2804 not connected to net gpio_load_1\[10\]. +Warning: ./spef/caravel.spef line 13193, *2805 not connected to net gpio_load_1\[10\]. +Warning: ./spef/caravel.spef line 13205, *2806 not connected to net gpio_load_1\[11\]. +Warning: ./spef/caravel.spef line 13206, *2805 not connected to net gpio_load_1\[11\]. +Warning: ./spef/caravel.spef line 13337, *2805 not connected to net gpio_load_1\[11\]. +Warning: ./spef/caravel.spef line 13344, *2806 not connected to net gpio_load_1\[11\]. +Warning: ./spef/caravel.spef line 13366, *2807 not connected to net gpio_load_1\[12\]. +Warning: ./spef/caravel.spef line 13367, *2806 not connected to net gpio_load_1\[12\]. +Warning: ./spef/caravel.spef line 13471, *2806 not connected to net gpio_load_1\[12\]. +Warning: ./spef/caravel.spef line 13474, *2807 not connected to net gpio_load_1\[12\]. +Warning: ./spef/caravel.spef line 13497, *2808 not connected to net gpio_load_1\[13\]. +Warning: ./spef/caravel.spef line 13498, *2807 not connected to net gpio_load_1\[13\]. +Warning: ./spef/caravel.spef line 13569, *2807 not connected to net gpio_load_1\[13\]. +Warning: ./spef/caravel.spef line 13577, *2808 not connected to net gpio_load_1\[13\]. +Warning: ./spef/caravel.spef line 13594, *2807 not connected to net gpio_load_1\[13\]. +Warning: ./spef/caravel.spef line 13606, *2809 not connected to net gpio_load_1\[14\]. +Warning: ./spef/caravel.spef line 13607, *2808 not connected to net gpio_load_1\[14\]. +Warning: ./spef/caravel.spef line 13675, *2808 not connected to net gpio_load_1\[14\]. +Warning: ./spef/caravel.spef line 13678, *2809 not connected to net gpio_load_1\[14\]. +Warning: ./spef/caravel.spef line 13706, *2783 not connected to net gpio_load_1\[15\]. +Warning: ./spef/caravel.spef line 13707, *2809 not connected to net gpio_load_1\[15\]. +Warning: ./spef/caravel.spef line 13766, *2809 not connected to net gpio_load_1\[15\]. +Warning: ./spef/caravel.spef line 13768, *2783 not connected to net gpio_load_1\[15\]. +Warning: ./spef/caravel.spef line 13791, *2784 not connected to net gpio_load_1\[16\]. +Warning: ./spef/caravel.spef line 13792, *2783 not connected to net gpio_load_1\[16\]. +Warning: ./spef/caravel.spef line 13853, *2783 not connected to net gpio_load_1\[16\]. +Warning: ./spef/caravel.spef line 13854, *2784 not connected to net gpio_load_1\[16\]. +Warning: ./spef/caravel.spef line 13867, *2785 not connected to net gpio_load_1\[17\]. +Warning: ./spef/caravel.spef line 13868, *2784 not connected to net gpio_load_1\[17\]. +Warning: ./spef/caravel.spef line 13939, *2784 not connected to net gpio_load_1\[17\]. +Warning: ./spef/caravel.spef line 13940, *2785 not connected to net gpio_load_1\[17\]. +Warning: ./spef/caravel.spef line 13950, *2785 not connected to net gpio_load_1\[18\]. +Warning: ./spef/caravel.spef line 13989, *2785 not connected to net gpio_load_1\[18\]. +Warning: ./spef/caravel.spef line 14001, *2811 not connected to net gpio_load_1\[1\]. +Warning: ./spef/caravel.spef line 14002, *2810 not connected to net gpio_load_1\[1\]. +Warning: ./spef/caravel.spef line 14080, *2810 not connected to net gpio_load_1\[1\]. +Warning: ./spef/caravel.spef line 14088, *2811 not connected to net gpio_load_1\[1\]. +Warning: ./spef/caravel.spef line 14114, *2812 not connected to net gpio_load_1\[2\]. +Warning: ./spef/caravel.spef line 14117, *2811 not connected to net gpio_load_1\[2\]. +Warning: ./spef/caravel.spef line 14211, *2811 not connected to net gpio_load_1\[2\]. +Warning: ./spef/caravel.spef line 14234, *2812 not connected to net gpio_load_1\[2\]. +Warning: ./spef/caravel.spef line 14246, *2813 not connected to net gpio_load_1\[3\]. +Warning: ./spef/caravel.spef line 14247, *2812 not connected to net gpio_load_1\[3\]. +Warning: ./spef/caravel.spef line 14313, *2812 not connected to net gpio_load_1\[3\]. +Warning: ./spef/caravel.spef line 14319, *2813 not connected to net gpio_load_1\[3\]. +Warning: ./spef/caravel.spef line 14344, *2814 not connected to net gpio_load_1\[4\]. +Warning: ./spef/caravel.spef line 14345, *2813 not connected to net gpio_load_1\[4\]. +Warning: ./spef/caravel.spef line 14412, *2813 not connected to net gpio_load_1\[4\]. +Warning: ./spef/caravel.spef line 14422, *2814 not connected to net gpio_load_1\[4\]. +Warning: ./spef/caravel.spef line 14442, *2815 not connected to net gpio_load_1\[5\]. +Warning: ./spef/caravel.spef line 14443, *2814 not connected to net gpio_load_1\[5\]. +Warning: ./spef/caravel.spef line 14525, *2814 not connected to net gpio_load_1\[5\]. +Warning: ./spef/caravel.spef line 14527, *2815 not connected to net gpio_load_1\[5\]. +Warning: ./spef/caravel.spef line 14554, *2816 not connected to net gpio_load_1\[6\]. +Warning: ./spef/caravel.spef line 14555, *2815 not connected to net gpio_load_1\[6\]. +Warning: ./spef/caravel.spef line 14608, *2815 not connected to net gpio_load_1\[6\]. +Warning: ./spef/caravel.spef line 14609, *2816 not connected to net gpio_load_1\[6\]. +Warning: ./spef/caravel.spef line 14634, *2817 not connected to net gpio_load_1\[7\]. +Warning: ./spef/caravel.spef line 14635, *2816 not connected to net gpio_load_1\[7\]. +Warning: ./spef/caravel.spef line 14766, *2816 not connected to net gpio_load_1\[7\]. +Warning: ./spef/caravel.spef line 14769, *2817 not connected to net gpio_load_1\[7\]. +Warning: ./spef/caravel.spef line 14801, *2818 not connected to net gpio_load_1\[8\]. +Warning: ./spef/caravel.spef line 14802, *2817 not connected to net gpio_load_1\[8\]. +Warning: ./spef/caravel.spef line 14917, *2817 not connected to net gpio_load_1\[8\]. +Warning: ./spef/caravel.spef line 14919, *2818 not connected to net gpio_load_1\[8\]. +Warning: ./spef/caravel.spef line 14943, *2804 not connected to net gpio_load_1\[9\]. +Warning: ./spef/caravel.spef line 14946, *2818 not connected to net gpio_load_1\[9\]. +Warning: ./spef/caravel.spef line 15070, *2818 not connected to net gpio_load_1\[9\]. +Warning: ./spef/caravel.spef line 15092, *2804 not connected to net gpio_load_1\[9\]. +Warning: ./spef/caravel.spef line 15102, *2803 not connected to net gpio_load_1_shifted\[0\]. +Warning: ./spef/caravel.spef line 15151, *2803 not connected to net gpio_load_1_shifted\[0\]. +Warning: ./spef/caravel.spef line 15268, *2810 not connected to net gpio_resetn_1\[0\]. +Warning: ./spef/caravel.spef line 15271, *2803 not connected to net gpio_resetn_1\[0\]. +Warning: ./spef/caravel.spef line 15345, *2803 not connected to net gpio_resetn_1\[0\]. +Warning: ./spef/caravel.spef line 15366, *2810 not connected to net gpio_resetn_1\[0\]. +Warning: ./spef/caravel.spef line 15378, *2805 not connected to net gpio_resetn_1\[10\]. +Warning: ./spef/caravel.spef line 15379, *2804 not connected to net gpio_resetn_1\[10\]. +Warning: ./spef/caravel.spef line 15455, *2804 not connected to net gpio_resetn_1\[10\]. +Warning: ./spef/caravel.spef line 15465, *2805 not connected to net gpio_resetn_1\[10\]. +Warning: ./spef/caravel.spef line 15490, *2806 not connected to net gpio_resetn_1\[11\]. +Warning: ./spef/caravel.spef line 15491, *2805 not connected to net gpio_resetn_1\[11\]. +Warning: ./spef/caravel.spef line 15578, *2805 not connected to net gpio_resetn_1\[11\]. +Warning: ./spef/caravel.spef line 15589, *2806 not connected to net gpio_resetn_1\[11\]. +Warning: ./spef/caravel.spef line 15614, *2807 not connected to net gpio_resetn_1\[12\]. +Warning: ./spef/caravel.spef line 15615, *2806 not connected to net gpio_resetn_1\[12\]. +Warning: ./spef/caravel.spef line 15679, *2806 not connected to net gpio_resetn_1\[12\]. +Warning: ./spef/caravel.spef line 15682, *2807 not connected to net gpio_resetn_1\[12\]. +Warning: ./spef/caravel.spef line 15708, *2808 not connected to net gpio_resetn_1\[13\]. +Warning: ./spef/caravel.spef line 15709, *2807 not connected to net gpio_resetn_1\[13\]. +Warning: ./spef/caravel.spef line 15770, *2807 not connected to net gpio_resetn_1\[13\]. +Warning: ./spef/caravel.spef line 15779, *2808 not connected to net gpio_resetn_1\[13\]. +Warning: ./spef/caravel.spef line 15805, *2809 not connected to net gpio_resetn_1\[14\]. +Warning: ./spef/caravel.spef line 15806, *2808 not connected to net gpio_resetn_1\[14\]. +Warning: ./spef/caravel.spef line 15866, *2808 not connected to net gpio_resetn_1\[14\]. +Warning: ./spef/caravel.spef line 15870, *2809 not connected to net gpio_resetn_1\[14\]. +Warning: ./spef/caravel.spef line 15892, *2783 not connected to net gpio_resetn_1\[15\]. +Warning: ./spef/caravel.spef line 15893, *2809 not connected to net gpio_resetn_1\[15\]. +Warning: ./spef/caravel.spef line 15950, *2809 not connected to net gpio_resetn_1\[15\]. +Warning: ./spef/caravel.spef line 15953, *2783 not connected to net gpio_resetn_1\[15\]. +Warning: ./spef/caravel.spef line 15976, *2784 not connected to net gpio_resetn_1\[16\]. +Warning: ./spef/caravel.spef line 15977, *2783 not connected to net gpio_resetn_1\[16\]. +Warning: ./spef/caravel.spef line 16051, *2783 not connected to net gpio_resetn_1\[16\]. +Warning: ./spef/caravel.spef line 16052, *2784 not connected to net gpio_resetn_1\[16\]. +Warning: ./spef/caravel.spef line 16065, *2785 not connected to net gpio_resetn_1\[17\]. +Warning: ./spef/caravel.spef line 16066, *2784 not connected to net gpio_resetn_1\[17\]. +Warning: ./spef/caravel.spef line 16154, *2784 not connected to net gpio_resetn_1\[17\]. +Warning: ./spef/caravel.spef line 16158, *2785 not connected to net gpio_resetn_1\[17\]. +Warning: ./spef/caravel.spef line 16168, *2785 not connected to net gpio_resetn_1\[18\]. +Warning: ./spef/caravel.spef line 16255, *2785 not connected to net gpio_resetn_1\[18\]. +Warning: ./spef/caravel.spef line 16267, *2811 not connected to net gpio_resetn_1\[1\]. +Warning: ./spef/caravel.spef line 16268, *2810 not connected to net gpio_resetn_1\[1\]. +Warning: ./spef/caravel.spef line 16336, *2810 not connected to net gpio_resetn_1\[1\]. +Warning: ./spef/caravel.spef line 16344, *2811 not connected to net gpio_resetn_1\[1\]. +Warning: ./spef/caravel.spef line 16366, *2812 not connected to net gpio_resetn_1\[2\]. +Warning: ./spef/caravel.spef line 16369, *2811 not connected to net gpio_resetn_1\[2\]. +Warning: ./spef/caravel.spef line 16421, *2811 not connected to net gpio_resetn_1\[2\]. +Warning: ./spef/caravel.spef line 16437, *2812 not connected to net gpio_resetn_1\[2\]. +Warning: ./spef/caravel.spef line 16449, *2813 not connected to net gpio_resetn_1\[3\]. +Warning: ./spef/caravel.spef line 16450, *2812 not connected to net gpio_resetn_1\[3\]. +Warning: ./spef/caravel.spef line 16518, *2812 not connected to net gpio_resetn_1\[3\]. +Warning: ./spef/caravel.spef line 16525, *2813 not connected to net gpio_resetn_1\[3\]. +Warning: ./spef/caravel.spef line 16549, *2814 not connected to net gpio_resetn_1\[4\]. +Warning: ./spef/caravel.spef line 16550, *2813 not connected to net gpio_resetn_1\[4\]. +Warning: ./spef/caravel.spef line 16609, *2813 not connected to net gpio_resetn_1\[4\]. +Warning: ./spef/caravel.spef line 16621, *2814 not connected to net gpio_resetn_1\[4\]. +Warning: ./spef/caravel.spef line 16640, *2815 not connected to net gpio_resetn_1\[5\]. +Warning: ./spef/caravel.spef line 16641, *2814 not connected to net gpio_resetn_1\[5\]. +Warning: ./spef/caravel.spef line 16724, *2814 not connected to net gpio_resetn_1\[5\]. +Warning: ./spef/caravel.spef line 16730, *2815 not connected to net gpio_resetn_1\[5\]. +Warning: ./spef/caravel.spef line 16757, *2816 not connected to net gpio_resetn_1\[6\]. +Warning: ./spef/caravel.spef line 16758, *2815 not connected to net gpio_resetn_1\[6\]. +Warning: ./spef/caravel.spef line 16848, *2815 not connected to net gpio_resetn_1\[6\]. +Warning: ./spef/caravel.spef line 16853, *2816 not connected to net gpio_resetn_1\[6\]. +Warning: ./spef/caravel.spef line 16878, *2817 not connected to net gpio_resetn_1\[7\]. +Warning: ./spef/caravel.spef line 16879, *2816 not connected to net gpio_resetn_1\[7\]. +Warning: ./spef/caravel.spef line 16957, *2816 not connected to net gpio_resetn_1\[7\]. +Warning: ./spef/caravel.spef line 16959, *2817 not connected to net gpio_resetn_1\[7\]. +Warning: ./spef/caravel.spef line 16984, *2818 not connected to net gpio_resetn_1\[8\]. +Warning: ./spef/caravel.spef line 16987, *2817 not connected to net gpio_resetn_1\[8\]. +Warning: ./spef/caravel.spef line 17065, *2817 not connected to net gpio_resetn_1\[8\]. +Warning: ./spef/caravel.spef line 17085, *2818 not connected to net gpio_resetn_1\[8\]. +Warning: ./spef/caravel.spef line 17097, *2804 not connected to net gpio_resetn_1\[9\]. +Warning: ./spef/caravel.spef line 17098, *2818 not connected to net gpio_resetn_1\[9\]. +Warning: ./spef/caravel.spef line 17176, *2818 not connected to net gpio_resetn_1\[9\]. +Warning: ./spef/caravel.spef line 17185, *2804 not connected to net gpio_resetn_1\[9\]. +Warning: ./spef/caravel.spef line 17207, *2803 not connected to net gpio_resetn_1_shifted\[0\]. +Warning: ./spef/caravel.spef line 17259, *2803 not connected to net gpio_resetn_1_shifted\[0\]. +Warning: ./spef/caravel.spef line 24838, *2821 not connected to net la_data_in_user\[0\]. +Warning: ./spef/caravel.spef line 24865, *2821 not connected to net la_data_in_user\[0\]. +Warning: ./spef/caravel.spef line 24873, *2821 not connected to net la_data_in_user\[100\]. +Warning: ./spef/caravel.spef line 24893, *2821 not connected to net la_data_in_user\[100\]. +Warning: ./spef/caravel.spef line 24901, *2821 not connected to net la_data_in_user\[101\]. +Warning: ./spef/caravel.spef line 24918, *2821 not connected to net la_data_in_user\[101\]. +Warning: ./spef/caravel.spef line 24926, *2821 not connected to net la_data_in_user\[102\]. +Warning: ./spef/caravel.spef line 24943, *2821 not connected to net la_data_in_user\[102\]. +Warning: ./spef/caravel.spef line 24951, *2821 not connected to net la_data_in_user\[103\]. +Warning: ./spef/caravel.spef line 24967, *2821 not connected to net la_data_in_user\[103\]. +Warning: ./spef/caravel.spef line 24975, *2821 not connected to net la_data_in_user\[104\]. +Warning: ./spef/caravel.spef line 24991, *2821 not connected to net la_data_in_user\[104\]. +Warning: ./spef/caravel.spef line 24999, *2821 not connected to net la_data_in_user\[105\]. +Warning: ./spef/caravel.spef line 25015, *2821 not connected to net la_data_in_user\[105\]. +Warning: ./spef/caravel.spef line 25023, *2821 not connected to net la_data_in_user\[106\]. +Warning: ./spef/caravel.spef line 25039, *2821 not connected to net la_data_in_user\[106\]. +Warning: ./spef/caravel.spef line 25047, *2821 not connected to net la_data_in_user\[107\]. +Warning: ./spef/caravel.spef line 25066, *2821 not connected to net la_data_in_user\[107\]. +Warning: ./spef/caravel.spef line 25074, *2821 not connected to net la_data_in_user\[108\]. +Warning: ./spef/caravel.spef line 25092, *2821 not connected to net la_data_in_user\[108\]. +Warning: ./spef/caravel.spef line 25100, *2821 not connected to net la_data_in_user\[109\]. +Warning: ./spef/caravel.spef line 25118, *2821 not connected to net la_data_in_user\[109\]. +Warning: ./spef/caravel.spef line 25126, *2821 not connected to net la_data_in_user\[10\]. +Warning: ./spef/caravel.spef line 25141, *2821 not connected to net la_data_in_user\[10\]. +Warning: ./spef/caravel.spef line 25149, *2821 not connected to net la_data_in_user\[110\]. +Warning: ./spef/caravel.spef line 25175, *2821 not connected to net la_data_in_user\[110\]. +Warning: ./spef/caravel.spef line 25183, *2821 not connected to net la_data_in_user\[111\]. +Warning: ./spef/caravel.spef line 25203, *2821 not connected to net la_data_in_user\[111\]. +Warning: ./spef/caravel.spef line 25211, *2821 not connected to net la_data_in_user\[112\]. +Warning: ./spef/caravel.spef line 25227, *2821 not connected to net la_data_in_user\[112\]. +Warning: ./spef/caravel.spef line 25235, *2821 not connected to net la_data_in_user\[113\]. +Warning: ./spef/caravel.spef line 25251, *2821 not connected to net la_data_in_user\[113\]. +Warning: ./spef/caravel.spef line 25259, *2821 not connected to net la_data_in_user\[114\]. +Warning: ./spef/caravel.spef line 25276, *2821 not connected to net la_data_in_user\[114\]. +Warning: ./spef/caravel.spef line 25284, *2821 not connected to net la_data_in_user\[115\]. +Warning: ./spef/caravel.spef line 25301, *2821 not connected to net la_data_in_user\[115\]. +Warning: ./spef/caravel.spef line 25309, *2821 not connected to net la_data_in_user\[116\]. +Warning: ./spef/caravel.spef line 25326, *2821 not connected to net la_data_in_user\[116\]. +Warning: ./spef/caravel.spef line 25334, *2821 not connected to net la_data_in_user\[117\]. +Warning: ./spef/caravel.spef line 25350, *2821 not connected to net la_data_in_user\[117\]. +Warning: ./spef/caravel.spef line 25358, *2821 not connected to net la_data_in_user\[118\]. +Warning: ./spef/caravel.spef line 25376, *2821 not connected to net la_data_in_user\[118\]. +Warning: ./spef/caravel.spef line 25384, *2821 not connected to net la_data_in_user\[119\]. +Warning: ./spef/caravel.spef line 25400, *2821 not connected to net la_data_in_user\[119\]. +Warning: ./spef/caravel.spef line 25408, *2821 not connected to net la_data_in_user\[11\]. +Warning: ./spef/caravel.spef line 25426, *2821 not connected to net la_data_in_user\[11\]. +Warning: ./spef/caravel.spef line 25434, *2821 not connected to net la_data_in_user\[120\]. +Warning: ./spef/caravel.spef line 25451, *2821 not connected to net la_data_in_user\[120\]. +Warning: ./spef/caravel.spef line 25459, *2821 not connected to net la_data_in_user\[121\]. +Warning: ./spef/caravel.spef line 25486, *2821 not connected to net la_data_in_user\[121\]. +Warning: ./spef/caravel.spef line 25494, *2821 not connected to net la_data_in_user\[122\]. +Warning: ./spef/caravel.spef line 25514, *2821 not connected to net la_data_in_user\[122\]. +Warning: ./spef/caravel.spef line 25522, *2821 not connected to net la_data_in_user\[123\]. +Warning: ./spef/caravel.spef line 25550, *2821 not connected to net la_data_in_user\[123\]. +Warning: ./spef/caravel.spef line 25558, *2821 not connected to net la_data_in_user\[124\]. +Warning: ./spef/caravel.spef line 25579, *2821 not connected to net la_data_in_user\[124\]. +Warning: ./spef/caravel.spef line 25587, *2821 not connected to net la_data_in_user\[125\]. +Warning: ./spef/caravel.spef line 25613, *2821 not connected to net la_data_in_user\[125\]. +Warning: ./spef/caravel.spef line 25621, *2821 not connected to net la_data_in_user\[126\]. +Warning: ./spef/caravel.spef line 25647, *2821 not connected to net la_data_in_user\[126\]. +Warning: ./spef/caravel.spef line 25655, *2821 not connected to net la_data_in_user\[127\]. +Warning: ./spef/caravel.spef line 25682, *2821 not connected to net la_data_in_user\[127\]. +Warning: ./spef/caravel.spef line 25690, *2821 not connected to net la_data_in_user\[12\]. +Warning: ./spef/caravel.spef line 25705, *2821 not connected to net la_data_in_user\[12\]. +Warning: ./spef/caravel.spef line 25713, *2821 not connected to net la_data_in_user\[13\]. +Warning: ./spef/caravel.spef line 25730, *2821 not connected to net la_data_in_user\[13\]. +Warning: ./spef/caravel.spef line 25738, *2821 not connected to net la_data_in_user\[14\]. +Warning: ./spef/caravel.spef line 25768, *2821 not connected to net la_data_in_user\[14\]. +Warning: ./spef/caravel.spef line 25776, *2821 not connected to net la_data_in_user\[15\]. +Warning: ./spef/caravel.spef line 25793, *2821 not connected to net la_data_in_user\[15\]. +Warning: ./spef/caravel.spef line 25801, *2821 not connected to net la_data_in_user\[16\]. +Warning: ./spef/caravel.spef line 25813, *2821 not connected to net la_data_in_user\[16\]. +Warning: ./spef/caravel.spef line 25821, *2821 not connected to net la_data_in_user\[17\]. +Warning: ./spef/caravel.spef line 25838, *2821 not connected to net la_data_in_user\[17\]. +Warning: ./spef/caravel.spef line 25846, *2821 not connected to net la_data_in_user\[18\]. +Warning: ./spef/caravel.spef line 25863, *2821 not connected to net la_data_in_user\[18\]. +Warning: ./spef/caravel.spef line 25871, *2821 not connected to net la_data_in_user\[19\]. +Warning: ./spef/caravel.spef line 25888, *2821 not connected to net la_data_in_user\[19\]. +Warning: ./spef/caravel.spef line 25896, *2821 not connected to net la_data_in_user\[1\]. +Warning: ./spef/caravel.spef line 25926, *2821 not connected to net la_data_in_user\[1\]. +Warning: ./spef/caravel.spef line 25934, *2821 not connected to net la_data_in_user\[20\]. +Warning: ./spef/caravel.spef line 25946, *2821 not connected to net la_data_in_user\[20\]. +Warning: ./spef/caravel.spef line 25954, *2821 not connected to net la_data_in_user\[21\]. +Warning: ./spef/caravel.spef line 25967, *2821 not connected to net la_data_in_user\[21\]. +Warning: ./spef/caravel.spef line 25975, *2821 not connected to net la_data_in_user\[22\]. +Warning: ./spef/caravel.spef line 25982, *2821 not connected to net la_data_in_user\[22\]. +Warning: ./spef/caravel.spef line 25990, *2821 not connected to net la_data_in_user\[23\]. +Warning: ./spef/caravel.spef line 26004, *2821 not connected to net la_data_in_user\[23\]. +Warning: ./spef/caravel.spef line 26012, *2821 not connected to net la_data_in_user\[24\]. +Warning: ./spef/caravel.spef line 26025, *2821 not connected to net la_data_in_user\[24\]. +Warning: ./spef/caravel.spef line 26033, *2821 not connected to net la_data_in_user\[25\]. +Warning: ./spef/caravel.spef line 26050, *2821 not connected to net la_data_in_user\[25\]. +Warning: ./spef/caravel.spef line 26058, *2821 not connected to net la_data_in_user\[26\]. +Warning: ./spef/caravel.spef line 26074, *2821 not connected to net la_data_in_user\[26\]. +Warning: ./spef/caravel.spef line 26082, *2821 not connected to net la_data_in_user\[27\]. +Warning: ./spef/caravel.spef line 26105, *2821 not connected to net la_data_in_user\[27\]. +Warning: ./spef/caravel.spef line 26113, *2821 not connected to net la_data_in_user\[28\]. +Warning: ./spef/caravel.spef line 26135, *2821 not connected to net la_data_in_user\[28\]. +Warning: ./spef/caravel.spef line 26143, *2821 not connected to net la_data_in_user\[29\]. +Warning: ./spef/caravel.spef line 26169, *2821 not connected to net la_data_in_user\[29\]. +Warning: ./spef/caravel.spef line 26177, *2821 not connected to net la_data_in_user\[2\]. +Warning: ./spef/caravel.spef line 26196, *2821 not connected to net la_data_in_user\[2\]. +Warning: ./spef/caravel.spef line 26204, *2821 not connected to net la_data_in_user\[30\]. +Warning: ./spef/caravel.spef line 26227, *2821 not connected to net la_data_in_user\[30\]. +Warning: ./spef/caravel.spef line 26235, *2821 not connected to net la_data_in_user\[31\]. +Warning: ./spef/caravel.spef line 26253, *2821 not connected to net la_data_in_user\[31\]. +Warning: ./spef/caravel.spef line 26261, *2821 not connected to net la_data_in_user\[32\]. +Warning: ./spef/caravel.spef line 26279, *2821 not connected to net la_data_in_user\[32\]. +Warning: ./spef/caravel.spef line 26287, *2821 not connected to net la_data_in_user\[33\]. +Warning: ./spef/caravel.spef line 26309, *2821 not connected to net la_data_in_user\[33\]. +Warning: ./spef/caravel.spef line 26317, *2821 not connected to net la_data_in_user\[34\]. +Warning: ./spef/caravel.spef line 26338, *2821 not connected to net la_data_in_user\[34\]. +Warning: ./spef/caravel.spef line 26346, *2821 not connected to net la_data_in_user\[35\]. +Warning: ./spef/caravel.spef line 26360, *2821 not connected to net la_data_in_user\[35\]. +Warning: ./spef/caravel.spef line 26368, *2821 not connected to net la_data_in_user\[36\]. +Warning: ./spef/caravel.spef line 26384, *2821 not connected to net la_data_in_user\[36\]. +Warning: ./spef/caravel.spef line 26392, *2821 not connected to net la_data_in_user\[37\]. +Warning: ./spef/caravel.spef line 26409, *2821 not connected to net la_data_in_user\[37\]. +Warning: ./spef/caravel.spef line 26417, *2821 not connected to net la_data_in_user\[38\]. +Warning: ./spef/caravel.spef line 26435, *2821 not connected to net la_data_in_user\[38\]. +Warning: ./spef/caravel.spef line 26443, *2821 not connected to net la_data_in_user\[39\]. +Warning: ./spef/caravel.spef line 26462, *2821 not connected to net la_data_in_user\[39\]. +Warning: ./spef/caravel.spef line 26470, *2821 not connected to net la_data_in_user\[3\]. +Warning: ./spef/caravel.spef line 26492, *2821 not connected to net la_data_in_user\[3\]. +Warning: ./spef/caravel.spef line 26500, *2821 not connected to net la_data_in_user\[40\]. +Warning: ./spef/caravel.spef line 26526, *2821 not connected to net la_data_in_user\[40\]. +Warning: ./spef/caravel.spef line 26534, *2821 not connected to net la_data_in_user\[41\]. +Warning: ./spef/caravel.spef line 26554, *2821 not connected to net la_data_in_user\[41\]. +Warning: ./spef/caravel.spef line 26562, *2821 not connected to net la_data_in_user\[42\]. +Warning: ./spef/caravel.spef line 26586, *2821 not connected to net la_data_in_user\[42\]. +Warning: ./spef/caravel.spef line 26594, *2821 not connected to net la_data_in_user\[43\]. +Warning: ./spef/caravel.spef line 26622, *2821 not connected to net la_data_in_user\[43\]. +Warning: ./spef/caravel.spef line 26630, *2821 not connected to net la_data_in_user\[44\]. +Warning: ./spef/caravel.spef line 26653, *2821 not connected to net la_data_in_user\[44\]. +Warning: ./spef/caravel.spef line 26661, *2821 not connected to net la_data_in_user\[45\]. +Warning: ./spef/caravel.spef line 26679, *2821 not connected to net la_data_in_user\[45\]. +Warning: ./spef/caravel.spef line 26687, *2821 not connected to net la_data_in_user\[46\]. +Warning: ./spef/caravel.spef line 26703, *2821 not connected to net la_data_in_user\[46\]. +Warning: ./spef/caravel.spef line 26711, *2821 not connected to net la_data_in_user\[47\]. +Warning: ./spef/caravel.spef line 26727, *2821 not connected to net la_data_in_user\[47\]. +Warning: ./spef/caravel.spef line 26735, *2821 not connected to net la_data_in_user\[48\]. +Warning: ./spef/caravel.spef line 26752, *2821 not connected to net la_data_in_user\[48\]. +Warning: ./spef/caravel.spef line 26760, *2821 not connected to net la_data_in_user\[49\]. +Warning: ./spef/caravel.spef line 26778, *2821 not connected to net la_data_in_user\[49\]. +Warning: ./spef/caravel.spef line 26786, *2821 not connected to net la_data_in_user\[4\]. +Warning: ./spef/caravel.spef line 26813, *2821 not connected to net la_data_in_user\[4\]. +Warning: ./spef/caravel.spef line 26821, *2821 not connected to net la_data_in_user\[50\]. +Warning: ./spef/caravel.spef line 26839, *2821 not connected to net la_data_in_user\[50\]. +Warning: ./spef/caravel.spef line 26847, *2821 not connected to net la_data_in_user\[51\]. +Warning: ./spef/caravel.spef line 26864, *2821 not connected to net la_data_in_user\[51\]. +Warning: ./spef/caravel.spef line 26872, *2821 not connected to net la_data_in_user\[52\]. +Warning: ./spef/caravel.spef line 26894, *2821 not connected to net la_data_in_user\[52\]. +Warning: ./spef/caravel.spef line 26902, *2821 not connected to net la_data_in_user\[53\]. +Warning: ./spef/caravel.spef line 26923, *2821 not connected to net la_data_in_user\[53\]. +Warning: ./spef/caravel.spef line 26931, *2821 not connected to net la_data_in_user\[54\]. +Warning: ./spef/caravel.spef line 26951, *2821 not connected to net la_data_in_user\[54\]. +Warning: ./spef/caravel.spef line 26959, *2821 not connected to net la_data_in_user\[55\]. +Warning: ./spef/caravel.spef line 26976, *2821 not connected to net la_data_in_user\[55\]. +Warning: ./spef/caravel.spef line 26984, *2821 not connected to net la_data_in_user\[56\]. +Warning: ./spef/caravel.spef line 27005, *2821 not connected to net la_data_in_user\[56\]. +Warning: ./spef/caravel.spef line 27013, *2821 not connected to net la_data_in_user\[57\]. +Warning: ./spef/caravel.spef line 27035, *2821 not connected to net la_data_in_user\[57\]. +Warning: ./spef/caravel.spef line 27043, *2821 not connected to net la_data_in_user\[58\]. +Warning: ./spef/caravel.spef line 27077, *2821 not connected to net la_data_in_user\[58\]. +Warning: ./spef/caravel.spef line 27085, *2821 not connected to net la_data_in_user\[59\]. +Warning: ./spef/caravel.spef line 27103, *2821 not connected to net la_data_in_user\[59\]. +Warning: ./spef/caravel.spef line 27111, *2821 not connected to net la_data_in_user\[5\]. +Warning: ./spef/caravel.spef line 27138, *2821 not connected to net la_data_in_user\[5\]. +Warning: ./spef/caravel.spef line 27146, *2821 not connected to net la_data_in_user\[60\]. +Warning: ./spef/caravel.spef line 27163, *2821 not connected to net la_data_in_user\[60\]. +Warning: ./spef/caravel.spef line 27171, *2821 not connected to net la_data_in_user\[61\]. +Warning: ./spef/caravel.spef line 27188, *2821 not connected to net la_data_in_user\[61\]. +Warning: ./spef/caravel.spef line 27196, *2821 not connected to net la_data_in_user\[62\]. +Warning: ./spef/caravel.spef line 27225, *2821 not connected to net la_data_in_user\[62\]. +Warning: ./spef/caravel.spef line 27233, *2821 not connected to net la_data_in_user\[63\]. +Warning: ./spef/caravel.spef line 27256, *2821 not connected to net la_data_in_user\[63\]. +Warning: ./spef/caravel.spef line 27264, *2821 not connected to net la_data_in_user\[64\]. +Warning: ./spef/caravel.spef line 27287, *2821 not connected to net la_data_in_user\[64\]. +Warning: ./spef/caravel.spef line 27295, *2821 not connected to net la_data_in_user\[65\]. +Warning: ./spef/caravel.spef line 27317, *2821 not connected to net la_data_in_user\[65\]. +Warning: ./spef/caravel.spef line 27325, *2821 not connected to net la_data_in_user\[66\]. +Warning: ./spef/caravel.spef line 27354, *2821 not connected to net la_data_in_user\[66\]. +Warning: ./spef/caravel.spef line 27362, *2821 not connected to net la_data_in_user\[67\]. +Warning: ./spef/caravel.spef line 27390, *2821 not connected to net la_data_in_user\[67\]. +Warning: ./spef/caravel.spef line 27398, *2821 not connected to net la_data_in_user\[68\]. +Warning: ./spef/caravel.spef line 27432, *2821 not connected to net la_data_in_user\[68\]. +Warning: ./spef/caravel.spef line 27440, *2821 not connected to net la_data_in_user\[69\]. +Warning: ./spef/caravel.spef line 27467, *2821 not connected to net la_data_in_user\[69\]. +Warning: ./spef/caravel.spef line 27475, *2821 not connected to net la_data_in_user\[6\]. +Warning: ./spef/caravel.spef line 27491, *2821 not connected to net la_data_in_user\[6\]. +Warning: ./spef/caravel.spef line 27499, *2821 not connected to net la_data_in_user\[70\]. +Warning: ./spef/caravel.spef line 27523, *2821 not connected to net la_data_in_user\[70\]. +Warning: ./spef/caravel.spef line 27531, *2821 not connected to net la_data_in_user\[71\]. +Warning: ./spef/caravel.spef line 27548, *2821 not connected to net la_data_in_user\[71\]. +Warning: ./spef/caravel.spef line 27556, *2821 not connected to net la_data_in_user\[72\]. +Warning: ./spef/caravel.spef line 27576, *2821 not connected to net la_data_in_user\[72\]. +Warning: ./spef/caravel.spef line 27584, *2821 not connected to net la_data_in_user\[73\]. +Warning: ./spef/caravel.spef line 27602, *2821 not connected to net la_data_in_user\[73\]. +Warning: ./spef/caravel.spef line 27610, *2821 not connected to net la_data_in_user\[74\]. +Warning: ./spef/caravel.spef line 27628, *2821 not connected to net la_data_in_user\[74\]. +Warning: ./spef/caravel.spef line 27636, *2821 not connected to net la_data_in_user\[75\]. +Warning: ./spef/caravel.spef line 27652, *2821 not connected to net la_data_in_user\[75\]. +Warning: ./spef/caravel.spef line 27660, *2821 not connected to net la_data_in_user\[76\]. +Warning: ./spef/caravel.spef line 27679, *2821 not connected to net la_data_in_user\[76\]. +Warning: ./spef/caravel.spef line 27687, *2821 not connected to net la_data_in_user\[77\]. +Warning: ./spef/caravel.spef line 27710, *2821 not connected to net la_data_in_user\[77\]. +Warning: ./spef/caravel.spef line 27718, *2821 not connected to net la_data_in_user\[78\]. +Warning: ./spef/caravel.spef line 27737, *2821 not connected to net la_data_in_user\[78\]. +Warning: ./spef/caravel.spef line 27745, *2821 not connected to net la_data_in_user\[79\]. +Warning: ./spef/caravel.spef line 27761, *2821 not connected to net la_data_in_user\[79\]. +Warning: ./spef/caravel.spef line 27769, *2821 not connected to net la_data_in_user\[7\]. +Warning: ./spef/caravel.spef line 27791, *2821 not connected to net la_data_in_user\[7\]. +Warning: ./spef/caravel.spef line 27799, *2821 not connected to net la_data_in_user\[80\]. +Warning: ./spef/caravel.spef line 27816, *2821 not connected to net la_data_in_user\[80\]. +Warning: ./spef/caravel.spef line 27824, *2821 not connected to net la_data_in_user\[81\]. +Warning: ./spef/caravel.spef line 27841, *2821 not connected to net la_data_in_user\[81\]. +Warning: ./spef/caravel.spef line 27849, *2821 not connected to net la_data_in_user\[82\]. +Warning: ./spef/caravel.spef line 27866, *2821 not connected to net la_data_in_user\[82\]. +Warning: ./spef/caravel.spef line 27874, *2821 not connected to net la_data_in_user\[83\]. +Warning: ./spef/caravel.spef line 27892, *2821 not connected to net la_data_in_user\[83\]. +Warning: ./spef/caravel.spef line 27900, *2821 not connected to net la_data_in_user\[84\]. +Warning: ./spef/caravel.spef line 27916, *2821 not connected to net la_data_in_user\[84\]. +Warning: ./spef/caravel.spef line 27924, *2821 not connected to net la_data_in_user\[85\]. +Warning: ./spef/caravel.spef line 27940, *2821 not connected to net la_data_in_user\[85\]. +Warning: ./spef/caravel.spef line 27948, *2821 not connected to net la_data_in_user\[86\]. +Warning: ./spef/caravel.spef line 27964, *2821 not connected to net la_data_in_user\[86\]. +Warning: ./spef/caravel.spef line 27972, *2821 not connected to net la_data_in_user\[87\]. +Warning: ./spef/caravel.spef line 27989, *2821 not connected to net la_data_in_user\[87\]. +Warning: ./spef/caravel.spef line 27997, *2821 not connected to net la_data_in_user\[88\]. +Warning: ./spef/caravel.spef line 28016, *2821 not connected to net la_data_in_user\[88\]. +Warning: ./spef/caravel.spef line 28024, *2821 not connected to net la_data_in_user\[89\]. +Warning: ./spef/caravel.spef line 28041, *2821 not connected to net la_data_in_user\[89\]. +Warning: ./spef/caravel.spef line 28049, *2821 not connected to net la_data_in_user\[8\]. +Warning: ./spef/caravel.spef line 28071, *2821 not connected to net la_data_in_user\[8\]. +Warning: ./spef/caravel.spef line 28079, *2821 not connected to net la_data_in_user\[90\]. +Warning: ./spef/caravel.spef line 28099, *2821 not connected to net la_data_in_user\[90\]. +Warning: ./spef/caravel.spef line 28107, *2821 not connected to net la_data_in_user\[91\]. +Warning: ./spef/caravel.spef line 28124, *2821 not connected to net la_data_in_user\[91\]. +Warning: ./spef/caravel.spef line 28132, *2821 not connected to net la_data_in_user\[92\]. +Warning: ./spef/caravel.spef line 28148, *2821 not connected to net la_data_in_user\[92\]. +Warning: ./spef/caravel.spef line 28156, *2821 not connected to net la_data_in_user\[93\]. +Warning: ./spef/caravel.spef line 28177, *2821 not connected to net la_data_in_user\[93\]. +Warning: ./spef/caravel.spef line 28185, *2821 not connected to net la_data_in_user\[94\]. +Warning: ./spef/caravel.spef line 28202, *2821 not connected to net la_data_in_user\[94\]. +Warning: ./spef/caravel.spef line 28210, *2821 not connected to net la_data_in_user\[95\]. +Warning: ./spef/caravel.spef line 28227, *2821 not connected to net la_data_in_user\[95\]. +Warning: ./spef/caravel.spef line 28235, *2821 not connected to net la_data_in_user\[96\]. +Warning: ./spef/caravel.spef line 28252, *2821 not connected to net la_data_in_user\[96\]. +Warning: ./spef/caravel.spef line 28260, *2821 not connected to net la_data_in_user\[97\]. +Warning: ./spef/caravel.spef line 28277, *2821 not connected to net la_data_in_user\[97\]. +Warning: ./spef/caravel.spef line 28285, *2821 not connected to net la_data_in_user\[98\]. +Warning: ./spef/caravel.spef line 28302, *2821 not connected to net la_data_in_user\[98\]. +Warning: ./spef/caravel.spef line 28310, *2821 not connected to net la_data_in_user\[99\]. +Warning: ./spef/caravel.spef line 28328, *2821 not connected to net la_data_in_user\[99\]. +Warning: ./spef/caravel.spef line 28336, *2821 not connected to net la_data_in_user\[9\]. +Warning: ./spef/caravel.spef line 28354, *2821 not connected to net la_data_in_user\[9\]. +Warning: ./spef/caravel.spef line 32567, *2821 not connected to net la_data_out_user\[0\]. +Warning: ./spef/caravel.spef line 32592, *2821 not connected to net la_data_out_user\[0\]. +Warning: ./spef/caravel.spef line 32605, *2821 not connected to net la_data_out_user\[100\]. +Warning: ./spef/caravel.spef line 32617, *2821 not connected to net la_data_out_user\[100\]. +Warning: ./spef/caravel.spef line 32629, *2821 not connected to net la_data_out_user\[101\]. +Warning: ./spef/caravel.spef line 32645, *2821 not connected to net la_data_out_user\[101\]. +Warning: ./spef/caravel.spef line 32658, *2821 not connected to net la_data_out_user\[102\]. +Warning: ./spef/caravel.spef line 32670, *2821 not connected to net la_data_out_user\[102\]. +Warning: ./spef/caravel.spef line 32682, *2821 not connected to net la_data_out_user\[103\]. +Warning: ./spef/caravel.spef line 32696, *2821 not connected to net la_data_out_user\[103\]. +Warning: ./spef/caravel.spef line 32708, *2821 not connected to net la_data_out_user\[104\]. +Warning: ./spef/caravel.spef line 32721, *2821 not connected to net la_data_out_user\[104\]. +Warning: ./spef/caravel.spef line 32733, *2821 not connected to net la_data_out_user\[105\]. +Warning: ./spef/caravel.spef line 32746, *2821 not connected to net la_data_out_user\[105\]. +Warning: ./spef/caravel.spef line 32758, *2821 not connected to net la_data_out_user\[106\]. +Warning: ./spef/caravel.spef line 32770, *2821 not connected to net la_data_out_user\[106\]. +Warning: ./spef/caravel.spef line 32782, *2821 not connected to net la_data_out_user\[107\]. +Warning: ./spef/caravel.spef line 32795, *2821 not connected to net la_data_out_user\[107\]. +Warning: ./spef/caravel.spef line 32807, *2821 not connected to net la_data_out_user\[108\]. +Warning: ./spef/caravel.spef line 32819, *2821 not connected to net la_data_out_user\[108\]. +Warning: ./spef/caravel.spef line 32831, *2821 not connected to net la_data_out_user\[109\]. +Warning: ./spef/caravel.spef line 32848, *2821 not connected to net la_data_out_user\[109\]. +Warning: ./spef/caravel.spef line 32860, *2821 not connected to net la_data_out_user\[10\]. +Warning: ./spef/caravel.spef line 32873, *2821 not connected to net la_data_out_user\[10\]. +Warning: ./spef/caravel.spef line 32884, *2821 not connected to net la_data_out_user\[110\]. +Warning: ./spef/caravel.spef line 32899, *2821 not connected to net la_data_out_user\[110\]. +Warning: ./spef/caravel.spef line 32911, *2821 not connected to net la_data_out_user\[111\]. +Warning: ./spef/caravel.spef line 32922, *2821 not connected to net la_data_out_user\[111\]. +Warning: ./spef/caravel.spef line 32934, *2821 not connected to net la_data_out_user\[112\]. +Warning: ./spef/caravel.spef line 32949, *2821 not connected to net la_data_out_user\[112\]. +Warning: ./spef/caravel.spef line 32961, *2821 not connected to net la_data_out_user\[113\]. +Warning: ./spef/caravel.spef line 32974, *2821 not connected to net la_data_out_user\[113\]. +Warning: ./spef/caravel.spef line 32987, *2821 not connected to net la_data_out_user\[114\]. +Warning: ./spef/caravel.spef line 32999, *2821 not connected to net la_data_out_user\[114\]. +Warning: ./spef/caravel.spef line 33011, *2821 not connected to net la_data_out_user\[115\]. +Warning: ./spef/caravel.spef line 33024, *2821 not connected to net la_data_out_user\[115\]. +Warning: ./spef/caravel.spef line 33036, *2821 not connected to net la_data_out_user\[116\]. +Warning: ./spef/caravel.spef line 33048, *2821 not connected to net la_data_out_user\[116\]. +Warning: ./spef/caravel.spef line 33060, *2821 not connected to net la_data_out_user\[117\]. +Warning: ./spef/caravel.spef line 33074, *2821 not connected to net la_data_out_user\[117\]. +Warning: ./spef/caravel.spef line 33086, *2821 not connected to net la_data_out_user\[118\]. +Warning: ./spef/caravel.spef line 33116, *2821 not connected to net la_data_out_user\[118\]. +Warning: ./spef/caravel.spef line 33130, *2821 not connected to net la_data_out_user\[119\]. +Warning: ./spef/caravel.spef line 33144, *2821 not connected to net la_data_out_user\[119\]. +Warning: ./spef/caravel.spef line 33156, *2821 not connected to net la_data_out_user\[11\]. +Warning: ./spef/caravel.spef line 33177, *2821 not connected to net la_data_out_user\[11\]. +Warning: ./spef/caravel.spef line 33190, *2821 not connected to net la_data_out_user\[120\]. +Warning: ./spef/caravel.spef line 33214, *2821 not connected to net la_data_out_user\[120\]. +Warning: ./spef/caravel.spef line 33228, *2821 not connected to net la_data_out_user\[121\]. +Warning: ./spef/caravel.spef line 33243, *2821 not connected to net la_data_out_user\[121\]. +Warning: ./spef/caravel.spef line 33256, *2821 not connected to net la_data_out_user\[122\]. +Warning: ./spef/caravel.spef line 33281, *2821 not connected to net la_data_out_user\[122\]. +Warning: ./spef/caravel.spef line 33295, *2821 not connected to net la_data_out_user\[123\]. +Warning: ./spef/caravel.spef line 33309, *2821 not connected to net la_data_out_user\[123\]. +Warning: ./spef/caravel.spef line 33321, *2821 not connected to net la_data_out_user\[124\]. +Warning: ./spef/caravel.spef line 33343, *2821 not connected to net la_data_out_user\[124\]. +Warning: ./spef/caravel.spef line 33356, *2821 not connected to net la_data_out_user\[125\]. +Warning: ./spef/caravel.spef line 33368, *2821 not connected to net la_data_out_user\[125\]. +Warning: ./spef/caravel.spef line 33380, *2821 not connected to net la_data_out_user\[126\]. +Warning: ./spef/caravel.spef line 33409, *2821 not connected to net la_data_out_user\[126\]. +Warning: ./spef/caravel.spef line 33422, *2821 not connected to net la_data_out_user\[127\]. +Warning: ./spef/caravel.spef line 33434, *2821 not connected to net la_data_out_user\[127\]. +Warning: ./spef/caravel.spef line 33446, *2821 not connected to net la_data_out_user\[12\]. +Warning: ./spef/caravel.spef line 33457, *2821 not connected to net la_data_out_user\[12\]. +Warning: ./spef/caravel.spef line 33469, *2821 not connected to net la_data_out_user\[13\]. +Warning: ./spef/caravel.spef line 33489, *2821 not connected to net la_data_out_user\[13\]. +Warning: ./spef/caravel.spef line 33503, *2821 not connected to net la_data_out_user\[14\]. +Warning: ./spef/caravel.spef line 33513, *2821 not connected to net la_data_out_user\[14\]. +Warning: ./spef/caravel.spef line 33525, *2821 not connected to net la_data_out_user\[15\]. +Warning: ./spef/caravel.spef line 33539, *2821 not connected to net la_data_out_user\[15\]. +Warning: ./spef/caravel.spef line 33551, *2821 not connected to net la_data_out_user\[16\]. +Warning: ./spef/caravel.spef line 33562, *2821 not connected to net la_data_out_user\[16\]. +Warning: ./spef/caravel.spef line 33573, *2821 not connected to net la_data_out_user\[17\]. +Warning: ./spef/caravel.spef line 33584, *2821 not connected to net la_data_out_user\[17\]. +Warning: ./spef/caravel.spef line 33595, *2821 not connected to net la_data_out_user\[18\]. +Warning: ./spef/caravel.spef line 33608, *2821 not connected to net la_data_out_user\[18\]. +Warning: ./spef/caravel.spef line 33619, *2821 not connected to net la_data_out_user\[19\]. +Warning: ./spef/caravel.spef line 33634, *2821 not connected to net la_data_out_user\[19\]. +Warning: ./spef/caravel.spef line 33645, *2821 not connected to net la_data_out_user\[1\]. +Warning: ./spef/caravel.spef line 33666, *2821 not connected to net la_data_out_user\[1\]. +Warning: ./spef/caravel.spef line 33678, *2821 not connected to net la_data_out_user\[20\]. +Warning: ./spef/caravel.spef line 33688, *2821 not connected to net la_data_out_user\[20\]. +Warning: ./spef/caravel.spef line 33699, *2821 not connected to net la_data_out_user\[21\]. +Warning: ./spef/caravel.spef line 33709, *2821 not connected to net la_data_out_user\[21\]. +Warning: ./spef/caravel.spef line 33720, *2821 not connected to net la_data_out_user\[22\]. +Warning: ./spef/caravel.spef line 33727, *2821 not connected to net la_data_out_user\[22\]. +Warning: ./spef/caravel.spef line 33737, *2821 not connected to net la_data_out_user\[23\]. +Warning: ./spef/caravel.spef line 33748, *2821 not connected to net la_data_out_user\[23\]. +Warning: ./spef/caravel.spef line 33759, *2821 not connected to net la_data_out_user\[24\]. +Warning: ./spef/caravel.spef line 33772, *2821 not connected to net la_data_out_user\[24\]. +Warning: ./spef/caravel.spef line 33783, *2821 not connected to net la_data_out_user\[25\]. +Warning: ./spef/caravel.spef line 33796, *2821 not connected to net la_data_out_user\[25\]. +Warning: ./spef/caravel.spef line 33807, *2821 not connected to net la_data_out_user\[26\]. +Warning: ./spef/caravel.spef line 33820, *2821 not connected to net la_data_out_user\[26\]. +Warning: ./spef/caravel.spef line 33832, *2821 not connected to net la_data_out_user\[27\]. +Warning: ./spef/caravel.spef line 33847, *2821 not connected to net la_data_out_user\[27\]. +Warning: ./spef/caravel.spef line 33859, *2821 not connected to net la_data_out_user\[28\]. +Warning: ./spef/caravel.spef line 33884, *2821 not connected to net la_data_out_user\[28\]. +Warning: ./spef/caravel.spef line 33897, *2821 not connected to net la_data_out_user\[29\]. +Warning: ./spef/caravel.spef line 33921, *2821 not connected to net la_data_out_user\[29\]. +Warning: ./spef/caravel.spef line 33934, *2821 not connected to net la_data_out_user\[2\]. +Warning: ./spef/caravel.spef line 33949, *2821 not connected to net la_data_out_user\[2\]. +Warning: ./spef/caravel.spef line 33961, *2821 not connected to net la_data_out_user\[30\]. +Warning: ./spef/caravel.spef line 33975, *2821 not connected to net la_data_out_user\[30\]. +Warning: ./spef/caravel.spef line 33987, *2821 not connected to net la_data_out_user\[31\]. +Warning: ./spef/caravel.spef line 34004, *2821 not connected to net la_data_out_user\[31\]. +Warning: ./spef/caravel.spef line 34016, *2821 not connected to net la_data_out_user\[32\]. +Warning: ./spef/caravel.spef line 34036, *2821 not connected to net la_data_out_user\[32\]. +Warning: ./spef/caravel.spef line 34048, *2821 not connected to net la_data_out_user\[33\]. +Warning: ./spef/caravel.spef line 34063, *2821 not connected to net la_data_out_user\[33\]. +Warning: ./spef/caravel.spef line 34075, *2821 not connected to net la_data_out_user\[34\]. +Warning: ./spef/caravel.spef line 34093, *2821 not connected to net la_data_out_user\[34\]. +Warning: ./spef/caravel.spef line 34105, *2821 not connected to net la_data_out_user\[35\]. +Warning: ./spef/caravel.spef line 34116, *2821 not connected to net la_data_out_user\[35\]. +Warning: ./spef/caravel.spef line 34127, *2821 not connected to net la_data_out_user\[36\]. +Warning: ./spef/caravel.spef line 34140, *2821 not connected to net la_data_out_user\[36\]. +Warning: ./spef/caravel.spef line 34151, *2821 not connected to net la_data_out_user\[37\]. +Warning: ./spef/caravel.spef line 34167, *2821 not connected to net la_data_out_user\[37\]. +Warning: ./spef/caravel.spef line 34179, *2821 not connected to net la_data_out_user\[38\]. +Warning: ./spef/caravel.spef line 34196, *2821 not connected to net la_data_out_user\[38\]. +Warning: ./spef/caravel.spef line 34208, *2821 not connected to net la_data_out_user\[39\]. +Warning: ./spef/caravel.spef line 34220, *2821 not connected to net la_data_out_user\[39\]. +Warning: ./spef/caravel.spef line 34232, *2821 not connected to net la_data_out_user\[3\]. +Warning: ./spef/caravel.spef line 34251, *2821 not connected to net la_data_out_user\[3\]. +Warning: ./spef/caravel.spef line 34263, *2821 not connected to net la_data_out_user\[40\]. +Warning: ./spef/caravel.spef line 34279, *2821 not connected to net la_data_out_user\[40\]. +Warning: ./spef/caravel.spef line 34291, *2821 not connected to net la_data_out_user\[41\]. +Warning: ./spef/caravel.spef line 34308, *2821 not connected to net la_data_out_user\[41\]. +Warning: ./spef/caravel.spef line 34320, *2821 not connected to net la_data_out_user\[42\]. +Warning: ./spef/caravel.spef line 34334, *2821 not connected to net la_data_out_user\[42\]. +Warning: ./spef/caravel.spef line 34346, *2821 not connected to net la_data_out_user\[43\]. +Warning: ./spef/caravel.spef line 34368, *2821 not connected to net la_data_out_user\[43\]. +Warning: ./spef/caravel.spef line 34380, *2821 not connected to net la_data_out_user\[44\]. +Warning: ./spef/caravel.spef line 34398, *2821 not connected to net la_data_out_user\[44\]. +Warning: ./spef/caravel.spef line 34411, *2821 not connected to net la_data_out_user\[45\]. +Warning: ./spef/caravel.spef line 34425, *2821 not connected to net la_data_out_user\[45\]. +Warning: ./spef/caravel.spef line 34437, *2821 not connected to net la_data_out_user\[46\]. +Warning: ./spef/caravel.spef line 34456, *2821 not connected to net la_data_out_user\[46\]. +Warning: ./spef/caravel.spef line 34468, *2821 not connected to net la_data_out_user\[47\]. +Warning: ./spef/caravel.spef line 34485, *2821 not connected to net la_data_out_user\[47\]. +Warning: ./spef/caravel.spef line 34497, *2821 not connected to net la_data_out_user\[48\]. +Warning: ./spef/caravel.spef line 34534, *2821 not connected to net la_data_out_user\[48\]. +Warning: ./spef/caravel.spef line 34547, *2821 not connected to net la_data_out_user\[49\]. +Warning: ./spef/caravel.spef line 34570, *2821 not connected to net la_data_out_user\[49\]. +Warning: ./spef/caravel.spef line 34582, *2821 not connected to net la_data_out_user\[4\]. +Warning: ./spef/caravel.spef line 34598, *2821 not connected to net la_data_out_user\[4\]. +Warning: ./spef/caravel.spef line 34610, *2821 not connected to net la_data_out_user\[50\]. +Warning: ./spef/caravel.spef line 34626, *2821 not connected to net la_data_out_user\[50\]. +Warning: ./spef/caravel.spef line 34638, *2821 not connected to net la_data_out_user\[51\]. +Warning: ./spef/caravel.spef line 34656, *2821 not connected to net la_data_out_user\[51\]. +Warning: ./spef/caravel.spef line 34668, *2821 not connected to net la_data_out_user\[52\]. +Warning: ./spef/caravel.spef line 34683, *2821 not connected to net la_data_out_user\[52\]. +Warning: ./spef/caravel.spef line 34695, *2821 not connected to net la_data_out_user\[53\]. +Warning: ./spef/caravel.spef line 34710, *2821 not connected to net la_data_out_user\[53\]. +Warning: ./spef/caravel.spef line 34722, *2821 not connected to net la_data_out_user\[54\]. +Warning: ./spef/caravel.spef line 34739, *2821 not connected to net la_data_out_user\[54\]. +Warning: ./spef/caravel.spef line 34751, *2821 not connected to net la_data_out_user\[55\]. +Warning: ./spef/caravel.spef line 34766, *2821 not connected to net la_data_out_user\[55\]. +Warning: ./spef/caravel.spef line 34778, *2821 not connected to net la_data_out_user\[56\]. +Warning: ./spef/caravel.spef line 34793, *2821 not connected to net la_data_out_user\[56\]. +Warning: ./spef/caravel.spef line 34805, *2821 not connected to net la_data_out_user\[57\]. +Warning: ./spef/caravel.spef line 34833, *2821 not connected to net la_data_out_user\[57\]. +Warning: ./spef/caravel.spef line 34848, *2821 not connected to net la_data_out_user\[58\]. +Warning: ./spef/caravel.spef line 34869, *2821 not connected to net la_data_out_user\[58\]. +Warning: ./spef/caravel.spef line 34883, *2821 not connected to net la_data_out_user\[59\]. +Warning: ./spef/caravel.spef line 34904, *2821 not connected to net la_data_out_user\[59\]. +Warning: ./spef/caravel.spef line 34917, *2821 not connected to net la_data_out_user\[5\]. +Warning: ./spef/caravel.spef line 34934, *2821 not connected to net la_data_out_user\[5\]. +Warning: ./spef/caravel.spef line 34946, *2821 not connected to net la_data_out_user\[60\]. +Warning: ./spef/caravel.spef line 34962, *2821 not connected to net la_data_out_user\[60\]. +Warning: ./spef/caravel.spef line 34974, *2821 not connected to net la_data_out_user\[61\]. +Warning: ./spef/caravel.spef line 34990, *2821 not connected to net la_data_out_user\[61\]. +Warning: ./spef/caravel.spef line 35002, *2821 not connected to net la_data_out_user\[62\]. +Warning: ./spef/caravel.spef line 35015, *2821 not connected to net la_data_out_user\[62\]. +Warning: ./spef/caravel.spef line 35027, *2821 not connected to net la_data_out_user\[63\]. +Warning: ./spef/caravel.spef line 35039, *2821 not connected to net la_data_out_user\[63\]. +Warning: ./spef/caravel.spef line 35051, *2821 not connected to net la_data_out_user\[64\]. +Warning: ./spef/caravel.spef line 35065, *2821 not connected to net la_data_out_user\[64\]. +Warning: ./spef/caravel.spef line 35077, *2821 not connected to net la_data_out_user\[65\]. +Warning: ./spef/caravel.spef line 35103, *2821 not connected to net la_data_out_user\[65\]. +Warning: ./spef/caravel.spef line 35118, *2821 not connected to net la_data_out_user\[66\]. +Warning: ./spef/caravel.spef line 35135, *2821 not connected to net la_data_out_user\[66\]. +Warning: ./spef/caravel.spef line 35148, *2821 not connected to net la_data_out_user\[67\]. +Warning: ./spef/caravel.spef line 35163, *2821 not connected to net la_data_out_user\[67\]. +Warning: ./spef/caravel.spef line 35175, *2821 not connected to net la_data_out_user\[68\]. +Warning: ./spef/caravel.spef line 35193, *2821 not connected to net la_data_out_user\[68\]. +Warning: ./spef/caravel.spef line 35205, *2821 not connected to net la_data_out_user\[69\]. +Warning: ./spef/caravel.spef line 35221, *2821 not connected to net la_data_out_user\[69\]. +Warning: ./spef/caravel.spef line 35233, *2821 not connected to net la_data_out_user\[6\]. +Warning: ./spef/caravel.spef line 35250, *2821 not connected to net la_data_out_user\[6\]. +Warning: ./spef/caravel.spef line 35262, *2821 not connected to net la_data_out_user\[70\]. +Warning: ./spef/caravel.spef line 35274, *2821 not connected to net la_data_out_user\[70\]. +Warning: ./spef/caravel.spef line 35286, *2821 not connected to net la_data_out_user\[71\]. +Warning: ./spef/caravel.spef line 35312, *2821 not connected to net la_data_out_user\[71\]. +Warning: ./spef/caravel.spef line 35327, *2821 not connected to net la_data_out_user\[72\]. +Warning: ./spef/caravel.spef line 35339, *2821 not connected to net la_data_out_user\[72\]. +Warning: ./spef/caravel.spef line 35351, *2821 not connected to net la_data_out_user\[73\]. +Warning: ./spef/caravel.spef line 35366, *2821 not connected to net la_data_out_user\[73\]. +Warning: ./spef/caravel.spef line 35377, *2821 not connected to net la_data_out_user\[74\]. +Warning: ./spef/caravel.spef line 35390, *2821 not connected to net la_data_out_user\[74\]. +Warning: ./spef/caravel.spef line 35402, *2821 not connected to net la_data_out_user\[75\]. +Warning: ./spef/caravel.spef line 35424, *2821 not connected to net la_data_out_user\[75\]. +Warning: ./spef/caravel.spef line 35437, *2821 not connected to net la_data_out_user\[76\]. +Warning: ./spef/caravel.spef line 35450, *2821 not connected to net la_data_out_user\[76\]. +Warning: ./spef/caravel.spef line 35462, *2821 not connected to net la_data_out_user\[77\]. +Warning: ./spef/caravel.spef line 35477, *2821 not connected to net la_data_out_user\[77\]. +Warning: ./spef/caravel.spef line 35488, *2821 not connected to net la_data_out_user\[78\]. +Warning: ./spef/caravel.spef line 35500, *2821 not connected to net la_data_out_user\[78\]. +Warning: ./spef/caravel.spef line 35512, *2821 not connected to net la_data_out_user\[79\]. +Warning: ./spef/caravel.spef line 35528, *2821 not connected to net la_data_out_user\[79\]. +Warning: ./spef/caravel.spef line 35541, *2821 not connected to net la_data_out_user\[7\]. +Warning: ./spef/caravel.spef line 35559, *2821 not connected to net la_data_out_user\[7\]. +Warning: ./spef/caravel.spef line 35571, *2821 not connected to net la_data_out_user\[80\]. +Warning: ./spef/caravel.spef line 35594, *2821 not connected to net la_data_out_user\[80\]. +Warning: ./spef/caravel.spef line 35605, *2821 not connected to net la_data_out_user\[81\]. +Warning: ./spef/caravel.spef line 35617, *2821 not connected to net la_data_out_user\[81\]. +Warning: ./spef/caravel.spef line 35628, *2821 not connected to net la_data_out_user\[82\]. +Warning: ./spef/caravel.spef line 35641, *2821 not connected to net la_data_out_user\[82\]. +Warning: ./spef/caravel.spef line 35653, *2821 not connected to net la_data_out_user\[83\]. +Warning: ./spef/caravel.spef line 35666, *2821 not connected to net la_data_out_user\[83\]. +Warning: ./spef/caravel.spef line 35678, *2821 not connected to net la_data_out_user\[84\]. +Warning: ./spef/caravel.spef line 35690, *2821 not connected to net la_data_out_user\[84\]. +Warning: ./spef/caravel.spef line 35702, *2821 not connected to net la_data_out_user\[85\]. +Warning: ./spef/caravel.spef line 35716, *2821 not connected to net la_data_out_user\[85\]. +Warning: ./spef/caravel.spef line 35728, *2821 not connected to net la_data_out_user\[86\]. +Warning: ./spef/caravel.spef line 35748, *2821 not connected to net la_data_out_user\[86\]. +Warning: ./spef/caravel.spef line 35762, *2821 not connected to net la_data_out_user\[87\]. +Warning: ./spef/caravel.spef line 35777, *2821 not connected to net la_data_out_user\[87\]. +Warning: ./spef/caravel.spef line 35789, *2821 not connected to net la_data_out_user\[88\]. +Warning: ./spef/caravel.spef line 35812, *2821 not connected to net la_data_out_user\[88\]. +Warning: ./spef/caravel.spef line 35825, *2821 not connected to net la_data_out_user\[89\]. +Warning: ./spef/caravel.spef line 35841, *2821 not connected to net la_data_out_user\[89\]. +Warning: ./spef/caravel.spef line 35853, *2821 not connected to net la_data_out_user\[8\]. +Warning: ./spef/caravel.spef line 35869, *2821 not connected to net la_data_out_user\[8\]. +Warning: ./spef/caravel.spef line 35881, *2821 not connected to net la_data_out_user\[90\]. +Warning: ./spef/caravel.spef line 35900, *2821 not connected to net la_data_out_user\[90\]. +Warning: ./spef/caravel.spef line 35913, *2821 not connected to net la_data_out_user\[91\]. +Warning: ./spef/caravel.spef line 35931, *2821 not connected to net la_data_out_user\[91\]. +Warning: ./spef/caravel.spef line 35945, *2821 not connected to net la_data_out_user\[92\]. +Warning: ./spef/caravel.spef line 35963, *2821 not connected to net la_data_out_user\[92\]. +Warning: ./spef/caravel.spef line 35976, *2821 not connected to net la_data_out_user\[93\]. +Warning: ./spef/caravel.spef line 35999, *2821 not connected to net la_data_out_user\[93\]. +Warning: ./spef/caravel.spef line 36011, *2821 not connected to net la_data_out_user\[94\]. +Warning: ./spef/caravel.spef line 36035, *2821 not connected to net la_data_out_user\[94\]. +Warning: ./spef/caravel.spef line 36046, *2821 not connected to net la_data_out_user\[95\]. +Warning: ./spef/caravel.spef line 36069, *2821 not connected to net la_data_out_user\[95\]. +Warning: ./spef/caravel.spef line 36080, *2821 not connected to net la_data_out_user\[96\]. +Warning: ./spef/caravel.spef line 36096, *2821 not connected to net la_data_out_user\[96\]. +Warning: ./spef/caravel.spef line 36108, *2821 not connected to net la_data_out_user\[97\]. +Warning: ./spef/caravel.spef line 36120, *2821 not connected to net la_data_out_user\[97\]. +Warning: ./spef/caravel.spef line 36131, *2821 not connected to net la_data_out_user\[98\]. +Warning: ./spef/caravel.spef line 36146, *2821 not connected to net la_data_out_user\[98\]. +Warning: ./spef/caravel.spef line 36159, *2821 not connected to net la_data_out_user\[99\]. +Warning: ./spef/caravel.spef line 36174, *2821 not connected to net la_data_out_user\[99\]. +Warning: ./spef/caravel.spef line 36185, *2821 not connected to net la_data_out_user\[9\]. +Warning: ./spef/caravel.spef line 36197, *2821 not connected to net la_data_out_user\[9\]. +Warning: ./spef/caravel.spef line 44480, *2821 not connected to net la_oenb_user\[0\]. +Warning: ./spef/caravel.spef line 44504, *2821 not connected to net la_oenb_user\[0\]. +Warning: ./spef/caravel.spef line 44512, *2821 not connected to net la_oenb_user\[100\]. +Warning: ./spef/caravel.spef line 44538, *2821 not connected to net la_oenb_user\[100\]. +Warning: ./spef/caravel.spef line 44546, *2821 not connected to net la_oenb_user\[101\]. +Warning: ./spef/caravel.spef line 44577, *2821 not connected to net la_oenb_user\[101\]. +Warning: ./spef/caravel.spef line 44585, *2821 not connected to net la_oenb_user\[102\]. +Warning: ./spef/caravel.spef line 44605, *2821 not connected to net la_oenb_user\[102\]. +Warning: ./spef/caravel.spef line 44613, *2821 not connected to net la_oenb_user\[103\]. +Warning: ./spef/caravel.spef line 44633, *2821 not connected to net la_oenb_user\[103\]. +Warning: ./spef/caravel.spef line 44641, *2821 not connected to net la_oenb_user\[104\]. +Warning: ./spef/caravel.spef line 44661, *2821 not connected to net la_oenb_user\[104\]. +Warning: ./spef/caravel.spef line 44669, *2821 not connected to net la_oenb_user\[105\]. +Warning: ./spef/caravel.spef line 44688, *2821 not connected to net la_oenb_user\[105\]. +Warning: ./spef/caravel.spef line 44696, *2821 not connected to net la_oenb_user\[106\]. +Warning: ./spef/caravel.spef line 44715, *2821 not connected to net la_oenb_user\[106\]. +Warning: ./spef/caravel.spef line 44723, *2821 not connected to net la_oenb_user\[107\]. +Warning: ./spef/caravel.spef line 44746, *2821 not connected to net la_oenb_user\[107\]. +Warning: ./spef/caravel.spef line 44754, *2821 not connected to net la_oenb_user\[108\]. +Warning: ./spef/caravel.spef line 44773, *2821 not connected to net la_oenb_user\[108\]. +Warning: ./spef/caravel.spef line 44781, *2821 not connected to net la_oenb_user\[109\]. +Warning: ./spef/caravel.spef line 44799, *2821 not connected to net la_oenb_user\[109\]. +Warning: ./spef/caravel.spef line 44807, *2821 not connected to net la_oenb_user\[10\]. +Warning: ./spef/caravel.spef line 44825, *2821 not connected to net la_oenb_user\[10\]. +Warning: ./spef/caravel.spef line 44833, *2821 not connected to net la_oenb_user\[110\]. +Warning: ./spef/caravel.spef line 44852, *2821 not connected to net la_oenb_user\[110\]. +Warning: ./spef/caravel.spef line 44860, *2821 not connected to net la_oenb_user\[111\]. +Warning: ./spef/caravel.spef line 44879, *2821 not connected to net la_oenb_user\[111\]. +Warning: ./spef/caravel.spef line 44887, *2821 not connected to net la_oenb_user\[112\]. +Warning: ./spef/caravel.spef line 44908, *2821 not connected to net la_oenb_user\[112\]. +Warning: ./spef/caravel.spef line 44916, *2821 not connected to net la_oenb_user\[113\]. +Warning: ./spef/caravel.spef line 44934, *2821 not connected to net la_oenb_user\[113\]. +Warning: ./spef/caravel.spef line 44942, *2821 not connected to net la_oenb_user\[114\]. +Warning: ./spef/caravel.spef line 44962, *2821 not connected to net la_oenb_user\[114\]. +Warning: ./spef/caravel.spef line 44970, *2821 not connected to net la_oenb_user\[115\]. +Warning: ./spef/caravel.spef line 44986, *2821 not connected to net la_oenb_user\[115\]. +Warning: ./spef/caravel.spef line 44994, *2821 not connected to net la_oenb_user\[116\]. +Warning: ./spef/caravel.spef line 45018, *2821 not connected to net la_oenb_user\[116\]. +Warning: ./spef/caravel.spef line 45026, *2821 not connected to net la_oenb_user\[117\]. +Warning: ./spef/caravel.spef line 45043, *2821 not connected to net la_oenb_user\[117\]. +Warning: ./spef/caravel.spef line 45051, *2821 not connected to net la_oenb_user\[118\]. +Warning: ./spef/caravel.spef line 45081, *2821 not connected to net la_oenb_user\[118\]. +Warning: ./spef/caravel.spef line 45089, *2821 not connected to net la_oenb_user\[119\]. +Warning: ./spef/caravel.spef line 45105, *2821 not connected to net la_oenb_user\[119\]. +Warning: ./spef/caravel.spef line 45113, *2821 not connected to net la_oenb_user\[11\]. +Warning: ./spef/caravel.spef line 45128, *2821 not connected to net la_oenb_user\[11\]. +Warning: ./spef/caravel.spef line 45136, *2821 not connected to net la_oenb_user\[120\]. +Warning: ./spef/caravel.spef line 45156, *2821 not connected to net la_oenb_user\[120\]. +Warning: ./spef/caravel.spef line 45164, *2821 not connected to net la_oenb_user\[121\]. +Warning: ./spef/caravel.spef line 45180, *2821 not connected to net la_oenb_user\[121\]. +Warning: ./spef/caravel.spef line 45188, *2821 not connected to net la_oenb_user\[122\]. +Warning: ./spef/caravel.spef line 45206, *2821 not connected to net la_oenb_user\[122\]. +Warning: ./spef/caravel.spef line 45214, *2821 not connected to net la_oenb_user\[123\]. +Warning: ./spef/caravel.spef line 45232, *2821 not connected to net la_oenb_user\[123\]. +Warning: ./spef/caravel.spef line 45240, *2821 not connected to net la_oenb_user\[124\]. +Warning: ./spef/caravel.spef line 45260, *2821 not connected to net la_oenb_user\[124\]. +Warning: ./spef/caravel.spef line 45268, *2821 not connected to net la_oenb_user\[125\]. +Warning: ./spef/caravel.spef line 45285, *2821 not connected to net la_oenb_user\[125\]. +Warning: ./spef/caravel.spef line 45293, *2821 not connected to net la_oenb_user\[126\]. +Warning: ./spef/caravel.spef line 45313, *2821 not connected to net la_oenb_user\[126\]. +Warning: ./spef/caravel.spef line 45321, *2821 not connected to net la_oenb_user\[127\]. +Warning: ./spef/caravel.spef line 45337, *2821 not connected to net la_oenb_user\[127\]. +Warning: ./spef/caravel.spef line 45345, *2821 not connected to net la_oenb_user\[12\]. +Warning: ./spef/caravel.spef line 45361, *2821 not connected to net la_oenb_user\[12\]. +Warning: ./spef/caravel.spef line 45369, *2821 not connected to net la_oenb_user\[13\]. +Warning: ./spef/caravel.spef line 45387, *2821 not connected to net la_oenb_user\[13\]. +Warning: ./spef/caravel.spef line 45395, *2821 not connected to net la_oenb_user\[14\]. +Warning: ./spef/caravel.spef line 45413, *2821 not connected to net la_oenb_user\[14\]. +Warning: ./spef/caravel.spef line 45421, *2821 not connected to net la_oenb_user\[15\]. +Warning: ./spef/caravel.spef line 45435, *2821 not connected to net la_oenb_user\[15\]. +Warning: ./spef/caravel.spef line 45443, *2821 not connected to net la_oenb_user\[16\]. +Warning: ./spef/caravel.spef line 45458, *2821 not connected to net la_oenb_user\[16\]. +Warning: ./spef/caravel.spef line 45466, *2821 not connected to net la_oenb_user\[17\]. +Warning: ./spef/caravel.spef line 45482, *2821 not connected to net la_oenb_user\[17\]. +Warning: ./spef/caravel.spef line 45490, *2821 not connected to net la_oenb_user\[18\]. +Warning: ./spef/caravel.spef line 45506, *2821 not connected to net la_oenb_user\[18\]. +Warning: ./spef/caravel.spef line 45514, *2821 not connected to net la_oenb_user\[19\]. +Warning: ./spef/caravel.spef line 45527, *2821 not connected to net la_oenb_user\[19\]. +Warning: ./spef/caravel.spef line 45535, *2821 not connected to net la_oenb_user\[1\]. +Warning: ./spef/caravel.spef line 45562, *2821 not connected to net la_oenb_user\[1\]. +Warning: ./spef/caravel.spef line 45570, *2821 not connected to net la_oenb_user\[20\]. +Warning: ./spef/caravel.spef line 45583, *2821 not connected to net la_oenb_user\[20\]. +Warning: ./spef/caravel.spef line 45591, *2821 not connected to net la_oenb_user\[21\]. +Warning: ./spef/caravel.spef line 45598, *2821 not connected to net la_oenb_user\[21\]. +Warning: ./spef/caravel.spef line 45606, *2821 not connected to net la_oenb_user\[22\]. +Warning: ./spef/caravel.spef line 45619, *2821 not connected to net la_oenb_user\[22\]. +Warning: ./spef/caravel.spef line 45627, *2821 not connected to net la_oenb_user\[23\]. +Warning: ./spef/caravel.spef line 45642, *2821 not connected to net la_oenb_user\[23\]. +Warning: ./spef/caravel.spef line 45650, *2821 not connected to net la_oenb_user\[24\]. +Warning: ./spef/caravel.spef line 45666, *2821 not connected to net la_oenb_user\[24\]. +Warning: ./spef/caravel.spef line 45674, *2821 not connected to net la_oenb_user\[25\]. +Warning: ./spef/caravel.spef line 45694, *2821 not connected to net la_oenb_user\[25\]. +Warning: ./spef/caravel.spef line 45702, *2821 not connected to net la_oenb_user\[26\]. +Warning: ./spef/caravel.spef line 45719, *2821 not connected to net la_oenb_user\[26\]. +Warning: ./spef/caravel.spef line 45727, *2821 not connected to net la_oenb_user\[27\]. +Warning: ./spef/caravel.spef line 45741, *2821 not connected to net la_oenb_user\[27\]. +Warning: ./spef/caravel.spef line 45749, *2821 not connected to net la_oenb_user\[28\]. +Warning: ./spef/caravel.spef line 45774, *2821 not connected to net la_oenb_user\[28\]. +Warning: ./spef/caravel.spef line 45782, *2821 not connected to net la_oenb_user\[29\]. +Warning: ./spef/caravel.spef line 45807, *2821 not connected to net la_oenb_user\[29\]. +Warning: ./spef/caravel.spef line 45815, *2821 not connected to net la_oenb_user\[2\]. +Warning: ./spef/caravel.spef line 45834, *2821 not connected to net la_oenb_user\[2\]. +Warning: ./spef/caravel.spef line 45842, *2821 not connected to net la_oenb_user\[30\]. +Warning: ./spef/caravel.spef line 45865, *2821 not connected to net la_oenb_user\[30\]. +Warning: ./spef/caravel.spef line 45873, *2821 not connected to net la_oenb_user\[31\]. +Warning: ./spef/caravel.spef line 45894, *2821 not connected to net la_oenb_user\[31\]. +Warning: ./spef/caravel.spef line 45902, *2821 not connected to net la_oenb_user\[32\]. +Warning: ./spef/caravel.spef line 45921, *2821 not connected to net la_oenb_user\[32\]. +Warning: ./spef/caravel.spef line 45929, *2821 not connected to net la_oenb_user\[33\]. +Warning: ./spef/caravel.spef line 45945, *2821 not connected to net la_oenb_user\[33\]. +Warning: ./spef/caravel.spef line 45953, *2821 not connected to net la_oenb_user\[34\]. +Warning: ./spef/caravel.spef line 45968, *2821 not connected to net la_oenb_user\[34\]. +Warning: ./spef/caravel.spef line 45976, *2821 not connected to net la_oenb_user\[35\]. +Warning: ./spef/caravel.spef line 45991, *2821 not connected to net la_oenb_user\[35\]. +Warning: ./spef/caravel.spef line 45999, *2821 not connected to net la_oenb_user\[36\]. +Warning: ./spef/caravel.spef line 46015, *2821 not connected to net la_oenb_user\[36\]. +Warning: ./spef/caravel.spef line 46023, *2821 not connected to net la_oenb_user\[37\]. +Warning: ./spef/caravel.spef line 46037, *2821 not connected to net la_oenb_user\[37\]. +Warning: ./spef/caravel.spef line 46045, *2821 not connected to net la_oenb_user\[38\]. +Warning: ./spef/caravel.spef line 46062, *2821 not connected to net la_oenb_user\[38\]. +Warning: ./spef/caravel.spef line 46070, *2821 not connected to net la_oenb_user\[39\]. +Warning: ./spef/caravel.spef line 46090, *2821 not connected to net la_oenb_user\[39\]. +Warning: ./spef/caravel.spef line 46098, *2821 not connected to net la_oenb_user\[3\]. +Warning: ./spef/caravel.spef line 46118, *2821 not connected to net la_oenb_user\[3\]. +Warning: ./spef/caravel.spef line 46126, *2821 not connected to net la_oenb_user\[40\]. +Warning: ./spef/caravel.spef line 46145, *2821 not connected to net la_oenb_user\[40\]. +Warning: ./spef/caravel.spef line 46153, *2821 not connected to net la_oenb_user\[41\]. +Warning: ./spef/caravel.spef line 46172, *2821 not connected to net la_oenb_user\[41\]. +Warning: ./spef/caravel.spef line 46180, *2821 not connected to net la_oenb_user\[42\]. +Warning: ./spef/caravel.spef line 46201, *2821 not connected to net la_oenb_user\[42\]. +Warning: ./spef/caravel.spef line 46209, *2821 not connected to net la_oenb_user\[43\]. +Warning: ./spef/caravel.spef line 46234, *2821 not connected to net la_oenb_user\[43\]. +Warning: ./spef/caravel.spef line 46242, *2821 not connected to net la_oenb_user\[44\]. +Warning: ./spef/caravel.spef line 46269, *2821 not connected to net la_oenb_user\[44\]. +Warning: ./spef/caravel.spef line 46277, *2821 not connected to net la_oenb_user\[45\]. +Warning: ./spef/caravel.spef line 46298, *2821 not connected to net la_oenb_user\[45\]. +Warning: ./spef/caravel.spef line 46306, *2821 not connected to net la_oenb_user\[46\]. +Warning: ./spef/caravel.spef line 46325, *2821 not connected to net la_oenb_user\[46\]. +Warning: ./spef/caravel.spef line 46333, *2821 not connected to net la_oenb_user\[47\]. +Warning: ./spef/caravel.spef line 46354, *2821 not connected to net la_oenb_user\[47\]. +Warning: ./spef/caravel.spef line 46362, *2821 not connected to net la_oenb_user\[48\]. +Warning: ./spef/caravel.spef line 46394, *2821 not connected to net la_oenb_user\[48\]. +Warning: ./spef/caravel.spef line 46402, *2821 not connected to net la_oenb_user\[49\]. +Warning: ./spef/caravel.spef line 46424, *2821 not connected to net la_oenb_user\[49\]. +Warning: ./spef/caravel.spef line 46432, *2821 not connected to net la_oenb_user\[4\]. +Warning: ./spef/caravel.spef line 46454, *2821 not connected to net la_oenb_user\[4\]. +Warning: ./spef/caravel.spef line 46462, *2821 not connected to net la_oenb_user\[50\]. +Warning: ./spef/caravel.spef line 46483, *2821 not connected to net la_oenb_user\[50\]. +Warning: ./spef/caravel.spef line 46491, *2821 not connected to net la_oenb_user\[51\]. +Warning: ./spef/caravel.spef line 46512, *2821 not connected to net la_oenb_user\[51\]. +Warning: ./spef/caravel.spef line 46520, *2821 not connected to net la_oenb_user\[52\]. +Warning: ./spef/caravel.spef line 46548, *2821 not connected to net la_oenb_user\[52\]. +Warning: ./spef/caravel.spef line 46556, *2821 not connected to net la_oenb_user\[53\]. +Warning: ./spef/caravel.spef line 46575, *2821 not connected to net la_oenb_user\[53\]. +Warning: ./spef/caravel.spef line 46583, *2821 not connected to net la_oenb_user\[54\]. +Warning: ./spef/caravel.spef line 46622, *2821 not connected to net la_oenb_user\[54\]. +Warning: ./spef/caravel.spef line 46630, *2821 not connected to net la_oenb_user\[55\]. +Warning: ./spef/caravel.spef line 46653, *2821 not connected to net la_oenb_user\[55\]. +Warning: ./spef/caravel.spef line 46661, *2821 not connected to net la_oenb_user\[56\]. +Warning: ./spef/caravel.spef line 46679, *2821 not connected to net la_oenb_user\[56\]. +Warning: ./spef/caravel.spef line 46687, *2821 not connected to net la_oenb_user\[57\]. +Warning: ./spef/caravel.spef line 46715, *2821 not connected to net la_oenb_user\[57\]. +Warning: ./spef/caravel.spef line 46723, *2821 not connected to net la_oenb_user\[58\]. +Warning: ./spef/caravel.spef line 46742, *2821 not connected to net la_oenb_user\[58\]. +Warning: ./spef/caravel.spef line 46750, *2821 not connected to net la_oenb_user\[59\]. +Warning: ./spef/caravel.spef line 46779, *2821 not connected to net la_oenb_user\[59\]. +Warning: ./spef/caravel.spef line 46787, *2821 not connected to net la_oenb_user\[5\]. +Warning: ./spef/caravel.spef line 46806, *2821 not connected to net la_oenb_user\[5\]. +Warning: ./spef/caravel.spef line 46814, *2821 not connected to net la_oenb_user\[60\]. +Warning: ./spef/caravel.spef line 46834, *2821 not connected to net la_oenb_user\[60\]. +Warning: ./spef/caravel.spef line 46842, *2821 not connected to net la_oenb_user\[61\]. +Warning: ./spef/caravel.spef line 46876, *2821 not connected to net la_oenb_user\[61\]. +Warning: ./spef/caravel.spef line 46884, *2821 not connected to net la_oenb_user\[62\]. +Warning: ./spef/caravel.spef line 46909, *2821 not connected to net la_oenb_user\[62\]. +Warning: ./spef/caravel.spef line 46917, *2821 not connected to net la_oenb_user\[63\]. +Warning: ./spef/caravel.spef line 46950, *2821 not connected to net la_oenb_user\[63\]. +Warning: ./spef/caravel.spef line 46958, *2821 not connected to net la_oenb_user\[64\]. +Warning: ./spef/caravel.spef line 46991, *2821 not connected to net la_oenb_user\[64\]. +Warning: ./spef/caravel.spef line 46999, *2821 not connected to net la_oenb_user\[65\]. +Warning: ./spef/caravel.spef line 47032, *2821 not connected to net la_oenb_user\[65\]. +Warning: ./spef/caravel.spef line 47040, *2821 not connected to net la_oenb_user\[66\]. +Warning: ./spef/caravel.spef line 47083, *2821 not connected to net la_oenb_user\[66\]. +Warning: ./spef/caravel.spef line 47091, *2821 not connected to net la_oenb_user\[67\]. +Warning: ./spef/caravel.spef line 47126, *2821 not connected to net la_oenb_user\[67\]. +Warning: ./spef/caravel.spef line 47134, *2821 not connected to net la_oenb_user\[68\]. +Warning: ./spef/caravel.spef line 47162, *2821 not connected to net la_oenb_user\[68\]. +Warning: ./spef/caravel.spef line 47170, *2821 not connected to net la_oenb_user\[69\]. +Warning: ./spef/caravel.spef line 47192, *2821 not connected to net la_oenb_user\[69\]. +Warning: ./spef/caravel.spef line 47200, *2821 not connected to net la_oenb_user\[6\]. +Warning: ./spef/caravel.spef line 47219, *2821 not connected to net la_oenb_user\[6\]. +Warning: ./spef/caravel.spef line 47227, *2821 not connected to net la_oenb_user\[70\]. +Warning: ./spef/caravel.spef line 47253, *2821 not connected to net la_oenb_user\[70\]. +Warning: ./spef/caravel.spef line 47261, *2821 not connected to net la_oenb_user\[71\]. +Warning: ./spef/caravel.spef line 47277, *2821 not connected to net la_oenb_user\[71\]. +Warning: ./spef/caravel.spef line 47285, *2821 not connected to net la_oenb_user\[72\]. +Warning: ./spef/caravel.spef line 47302, *2821 not connected to net la_oenb_user\[72\]. +Warning: ./spef/caravel.spef line 47310, *2821 not connected to net la_oenb_user\[73\]. +Warning: ./spef/caravel.spef line 47327, *2821 not connected to net la_oenb_user\[73\]. +Warning: ./spef/caravel.spef line 47335, *2821 not connected to net la_oenb_user\[74\]. +Warning: ./spef/caravel.spef line 47351, *2821 not connected to net la_oenb_user\[74\]. +Warning: ./spef/caravel.spef line 47359, *2821 not connected to net la_oenb_user\[75\]. +Warning: ./spef/caravel.spef line 47375, *2821 not connected to net la_oenb_user\[75\]. +Warning: ./spef/caravel.spef line 47383, *2821 not connected to net la_oenb_user\[76\]. +Warning: ./spef/caravel.spef line 47407, *2821 not connected to net la_oenb_user\[76\]. +Warning: ./spef/caravel.spef line 47415, *2821 not connected to net la_oenb_user\[77\]. +Warning: ./spef/caravel.spef line 47435, *2821 not connected to net la_oenb_user\[77\]. +Warning: ./spef/caravel.spef line 47443, *2821 not connected to net la_oenb_user\[78\]. +Warning: ./spef/caravel.spef line 47477, *2821 not connected to net la_oenb_user\[78\]. +Warning: ./spef/caravel.spef line 47485, *2821 not connected to net la_oenb_user\[79\]. +Warning: ./spef/caravel.spef line 47504, *2821 not connected to net la_oenb_user\[79\]. +Warning: ./spef/caravel.spef line 47512, *2821 not connected to net la_oenb_user\[7\]. +Warning: ./spef/caravel.spef line 47532, *2821 not connected to net la_oenb_user\[7\]. +Warning: ./spef/caravel.spef line 47540, *2821 not connected to net la_oenb_user\[80\]. +Warning: ./spef/caravel.spef line 47556, *2821 not connected to net la_oenb_user\[80\]. +Warning: ./spef/caravel.spef line 47564, *2821 not connected to net la_oenb_user\[81\]. +Warning: ./spef/caravel.spef line 47578, *2821 not connected to net la_oenb_user\[81\]. +Warning: ./spef/caravel.spef line 47586, *2821 not connected to net la_oenb_user\[82\]. +Warning: ./spef/caravel.spef line 47600, *2821 not connected to net la_oenb_user\[82\]. +Warning: ./spef/caravel.spef line 47608, *2821 not connected to net la_oenb_user\[83\]. +Warning: ./spef/caravel.spef line 47624, *2821 not connected to net la_oenb_user\[83\]. +Warning: ./spef/caravel.spef line 47632, *2821 not connected to net la_oenb_user\[84\]. +Warning: ./spef/caravel.spef line 47660, *2821 not connected to net la_oenb_user\[84\]. +Warning: ./spef/caravel.spef line 47668, *2821 not connected to net la_oenb_user\[85\]. +Warning: ./spef/caravel.spef line 47689, *2821 not connected to net la_oenb_user\[85\]. +Warning: ./spef/caravel.spef line 47697, *2821 not connected to net la_oenb_user\[86\]. +Warning: ./spef/caravel.spef line 47717, *2821 not connected to net la_oenb_user\[86\]. +Warning: ./spef/caravel.spef line 47725, *2821 not connected to net la_oenb_user\[87\]. +Warning: ./spef/caravel.spef line 47744, *2821 not connected to net la_oenb_user\[87\]. +Warning: ./spef/caravel.spef line 47752, *2821 not connected to net la_oenb_user\[88\]. +Warning: ./spef/caravel.spef line 47772, *2821 not connected to net la_oenb_user\[88\]. +Warning: ./spef/caravel.spef line 47780, *2821 not connected to net la_oenb_user\[89\]. +Warning: ./spef/caravel.spef line 47797, *2821 not connected to net la_oenb_user\[89\]. +Warning: ./spef/caravel.spef line 47805, *2821 not connected to net la_oenb_user\[8\]. +Warning: ./spef/caravel.spef line 47821, *2821 not connected to net la_oenb_user\[8\]. +Warning: ./spef/caravel.spef line 47829, *2821 not connected to net la_oenb_user\[90\]. +Warning: ./spef/caravel.spef line 47852, *2821 not connected to net la_oenb_user\[90\]. +Warning: ./spef/caravel.spef line 47860, *2821 not connected to net la_oenb_user\[91\]. +Warning: ./spef/caravel.spef line 47878, *2821 not connected to net la_oenb_user\[91\]. +Warning: ./spef/caravel.spef line 47886, *2821 not connected to net la_oenb_user\[92\]. +Warning: ./spef/caravel.spef line 47910, *2821 not connected to net la_oenb_user\[92\]. +Warning: ./spef/caravel.spef line 47918, *2821 not connected to net la_oenb_user\[93\]. +Warning: ./spef/caravel.spef line 47946, *2821 not connected to net la_oenb_user\[93\]. +Warning: ./spef/caravel.spef line 47954, *2821 not connected to net la_oenb_user\[94\]. +Warning: ./spef/caravel.spef line 47970, *2821 not connected to net la_oenb_user\[94\]. +Warning: ./spef/caravel.spef line 47978, *2821 not connected to net la_oenb_user\[95\]. +Warning: ./spef/caravel.spef line 48004, *2821 not connected to net la_oenb_user\[95\]. +Warning: ./spef/caravel.spef line 48012, *2821 not connected to net la_oenb_user\[96\]. +Warning: ./spef/caravel.spef line 48031, *2821 not connected to net la_oenb_user\[96\]. +Warning: ./spef/caravel.spef line 48039, *2821 not connected to net la_oenb_user\[97\]. +Warning: ./spef/caravel.spef line 48063, *2821 not connected to net la_oenb_user\[97\]. +Warning: ./spef/caravel.spef line 48071, *2821 not connected to net la_oenb_user\[98\]. +Warning: ./spef/caravel.spef line 48087, *2821 not connected to net la_oenb_user\[98\]. +Warning: ./spef/caravel.spef line 48095, *2821 not connected to net la_oenb_user\[99\]. +Warning: ./spef/caravel.spef line 48116, *2821 not connected to net la_oenb_user\[99\]. +Warning: ./spef/caravel.spef line 48124, *2821 not connected to net la_oenb_user\[9\]. +Warning: ./spef/caravel.spef line 48137, *2821 not connected to net la_oenb_user\[9\]. +Warning: ./spef/caravel.spef line 52753, *2821 not connected to net mprj_adr_o_user\[0\]. +Warning: ./spef/caravel.spef line 52767, *2821 not connected to net mprj_adr_o_user\[0\]. +Warning: ./spef/caravel.spef line 52775, *2821 not connected to net mprj_adr_o_user\[10\]. +Warning: ./spef/caravel.spef line 52790, *2821 not connected to net mprj_adr_o_user\[10\]. +Warning: ./spef/caravel.spef line 52798, *2821 not connected to net mprj_adr_o_user\[11\]. +Warning: ./spef/caravel.spef line 52812, *2821 not connected to net mprj_adr_o_user\[11\]. +Warning: ./spef/caravel.spef line 52820, *2821 not connected to net mprj_adr_o_user\[12\]. +Warning: ./spef/caravel.spef line 52853, *2821 not connected to net mprj_adr_o_user\[12\]. +Warning: ./spef/caravel.spef line 52861, *2821 not connected to net mprj_adr_o_user\[13\]. +Warning: ./spef/caravel.spef line 52873, *2821 not connected to net mprj_adr_o_user\[13\]. +Warning: ./spef/caravel.spef line 52881, *2821 not connected to net mprj_adr_o_user\[14\]. +Warning: ./spef/caravel.spef line 52908, *2821 not connected to net mprj_adr_o_user\[14\]. +Warning: ./spef/caravel.spef line 52916, *2821 not connected to net mprj_adr_o_user\[15\]. +Warning: ./spef/caravel.spef line 52955, *2821 not connected to net mprj_adr_o_user\[15\]. +Warning: ./spef/caravel.spef line 52963, *2821 not connected to net mprj_adr_o_user\[16\]. +Warning: ./spef/caravel.spef line 52992, *2821 not connected to net mprj_adr_o_user\[16\]. +Warning: ./spef/caravel.spef line 53000, *2821 not connected to net mprj_adr_o_user\[17\]. +Warning: ./spef/caravel.spef line 53020, *2821 not connected to net mprj_adr_o_user\[17\]. +Warning: ./spef/caravel.spef line 53028, *2821 not connected to net mprj_adr_o_user\[18\]. +Warning: ./spef/caravel.spef line 53054, *2821 not connected to net mprj_adr_o_user\[18\]. +Warning: ./spef/caravel.spef line 53062, *2821 not connected to net mprj_adr_o_user\[19\]. +Warning: ./spef/caravel.spef line 53080, *2821 not connected to net mprj_adr_o_user\[19\]. +Warning: ./spef/caravel.spef line 53088, *2821 not connected to net mprj_adr_o_user\[1\]. +Warning: ./spef/caravel.spef line 53103, *2821 not connected to net mprj_adr_o_user\[1\]. +Warning: ./spef/caravel.spef line 53111, *2821 not connected to net mprj_adr_o_user\[20\]. +Warning: ./spef/caravel.spef line 53131, *2821 not connected to net mprj_adr_o_user\[20\]. +Warning: ./spef/caravel.spef line 53139, *2821 not connected to net mprj_adr_o_user\[21\]. +Warning: ./spef/caravel.spef line 53159, *2821 not connected to net mprj_adr_o_user\[21\]. +Warning: ./spef/caravel.spef line 53167, *2821 not connected to net mprj_adr_o_user\[22\]. +Warning: ./spef/caravel.spef line 53201, *2821 not connected to net mprj_adr_o_user\[22\]. +Warning: ./spef/caravel.spef line 53209, *2821 not connected to net mprj_adr_o_user\[23\]. +Warning: ./spef/caravel.spef line 53225, *2821 not connected to net mprj_adr_o_user\[23\]. +Warning: ./spef/caravel.spef line 53233, *2821 not connected to net mprj_adr_o_user\[24\]. +Warning: ./spef/caravel.spef line 53265, *2821 not connected to net mprj_adr_o_user\[24\]. +Warning: ./spef/caravel.spef line 53273, *2821 not connected to net mprj_adr_o_user\[25\]. +Warning: ./spef/caravel.spef line 53290, *2821 not connected to net mprj_adr_o_user\[25\]. +Warning: ./spef/caravel.spef line 53298, *2821 not connected to net mprj_adr_o_user\[26\]. +Warning: ./spef/caravel.spef line 53322, *2821 not connected to net mprj_adr_o_user\[26\]. +Warning: ./spef/caravel.spef line 53330, *2821 not connected to net mprj_adr_o_user\[27\]. +Warning: ./spef/caravel.spef line 53347, *2821 not connected to net mprj_adr_o_user\[27\]. +Warning: ./spef/caravel.spef line 53355, *2821 not connected to net mprj_adr_o_user\[28\]. +Warning: ./spef/caravel.spef line 53380, *2821 not connected to net mprj_adr_o_user\[28\]. +Warning: ./spef/caravel.spef line 53388, *2821 not connected to net mprj_adr_o_user\[29\]. +Warning: ./spef/caravel.spef line 53409, *2821 not connected to net mprj_adr_o_user\[29\]. +Warning: ./spef/caravel.spef line 53417, *2821 not connected to net mprj_adr_o_user\[2\]. +Warning: ./spef/caravel.spef line 53434, *2821 not connected to net mprj_adr_o_user\[2\]. +Warning: ./spef/caravel.spef line 53442, *2821 not connected to net mprj_adr_o_user\[30\]. +Warning: ./spef/caravel.spef line 53465, *2821 not connected to net mprj_adr_o_user\[30\]. +Warning: ./spef/caravel.spef line 53473, *2821 not connected to net mprj_adr_o_user\[31\]. +Warning: ./spef/caravel.spef line 53489, *2821 not connected to net mprj_adr_o_user\[31\]. +Warning: ./spef/caravel.spef line 53497, *2821 not connected to net mprj_adr_o_user\[3\]. +Warning: ./spef/caravel.spef line 53515, *2821 not connected to net mprj_adr_o_user\[3\]. +Warning: ./spef/caravel.spef line 53523, *2821 not connected to net mprj_adr_o_user\[4\]. +Warning: ./spef/caravel.spef line 53544, *2821 not connected to net mprj_adr_o_user\[4\]. +Warning: ./spef/caravel.spef line 53552, *2821 not connected to net mprj_adr_o_user\[5\]. +Warning: ./spef/caravel.spef line 53574, *2821 not connected to net mprj_adr_o_user\[5\]. +Warning: ./spef/caravel.spef line 53582, *2821 not connected to net mprj_adr_o_user\[6\]. +Warning: ./spef/caravel.spef line 53602, *2821 not connected to net mprj_adr_o_user\[6\]. +Warning: ./spef/caravel.spef line 53610, *2821 not connected to net mprj_adr_o_user\[7\]. +Warning: ./spef/caravel.spef line 53629, *2821 not connected to net mprj_adr_o_user\[7\]. +Warning: ./spef/caravel.spef line 53637, *2821 not connected to net mprj_adr_o_user\[8\]. +Warning: ./spef/caravel.spef line 53656, *2821 not connected to net mprj_adr_o_user\[8\]. +Warning: ./spef/caravel.spef line 53664, *2821 not connected to net mprj_adr_o_user\[9\]. +Warning: ./spef/caravel.spef line 53682, *2821 not connected to net mprj_adr_o_user\[9\]. +Warning: ./spef/caravel.spef line 53740, *2819 not connected to net mprj_cyc_o_core. +Warning: ./spef/caravel.spef line 53775, *2819 not connected to net mprj_cyc_o_core. +Warning: ./spef/caravel.spef line 54963, *2821 not connected to net mprj_dat_i_user\[0\]. +Warning: ./spef/caravel.spef line 54983, *2821 not connected to net mprj_dat_i_user\[0\]. +Warning: ./spef/caravel.spef line 54995, *2821 not connected to net mprj_dat_i_user\[10\]. +Warning: ./spef/caravel.spef line 55019, *2821 not connected to net mprj_dat_i_user\[10\]. +Warning: ./spef/caravel.spef line 55030, *2821 not connected to net mprj_dat_i_user\[11\]. +Warning: ./spef/caravel.spef line 55049, *2821 not connected to net mprj_dat_i_user\[11\]. +Warning: ./spef/caravel.spef line 55061, *2821 not connected to net mprj_dat_i_user\[12\]. +Warning: ./spef/caravel.spef line 55082, *2821 not connected to net mprj_dat_i_user\[12\]. +Warning: ./spef/caravel.spef line 55093, *2821 not connected to net mprj_dat_i_user\[13\]. +Warning: ./spef/caravel.spef line 55114, *2821 not connected to net mprj_dat_i_user\[13\]. +Warning: ./spef/caravel.spef line 55126, *2821 not connected to net mprj_dat_i_user\[14\]. +Warning: ./spef/caravel.spef line 55140, *2821 not connected to net mprj_dat_i_user\[14\]. +Warning: ./spef/caravel.spef line 55153, *2821 not connected to net mprj_dat_i_user\[15\]. +Warning: ./spef/caravel.spef line 55176, *2821 not connected to net mprj_dat_i_user\[15\]. +Warning: ./spef/caravel.spef line 55190, *2821 not connected to net mprj_dat_i_user\[16\]. +Warning: ./spef/caravel.spef line 55204, *2821 not connected to net mprj_dat_i_user\[16\]. +Warning: ./spef/caravel.spef line 55216, *2821 not connected to net mprj_dat_i_user\[17\]. +Warning: ./spef/caravel.spef line 55237, *2821 not connected to net mprj_dat_i_user\[17\]. +Warning: ./spef/caravel.spef line 55251, *2821 not connected to net mprj_dat_i_user\[18\]. +Warning: ./spef/caravel.spef line 55267, *2821 not connected to net mprj_dat_i_user\[18\]. +Warning: ./spef/caravel.spef line 55279, *2821 not connected to net mprj_dat_i_user\[19\]. +Warning: ./spef/caravel.spef line 55297, *2821 not connected to net mprj_dat_i_user\[19\]. +Warning: ./spef/caravel.spef line 55310, *2821 not connected to net mprj_dat_i_user\[1\]. +Warning: ./spef/caravel.spef line 55325, *2821 not connected to net mprj_dat_i_user\[1\]. +Warning: ./spef/caravel.spef line 55337, *2821 not connected to net mprj_dat_i_user\[20\]. +Warning: ./spef/caravel.spef line 55351, *2821 not connected to net mprj_dat_i_user\[20\]. +Warning: ./spef/caravel.spef line 55363, *2821 not connected to net mprj_dat_i_user\[21\]. +Warning: ./spef/caravel.spef line 55389, *2821 not connected to net mprj_dat_i_user\[21\]. +Warning: ./spef/caravel.spef line 55403, *2821 not connected to net mprj_dat_i_user\[22\]. +Warning: ./spef/caravel.spef line 55427, *2821 not connected to net mprj_dat_i_user\[22\]. +Warning: ./spef/caravel.spef line 55442, *2821 not connected to net mprj_dat_i_user\[23\]. +Warning: ./spef/caravel.spef line 55454, *2821 not connected to net mprj_dat_i_user\[23\]. +Warning: ./spef/caravel.spef line 55466, *2821 not connected to net mprj_dat_i_user\[24\]. +Warning: ./spef/caravel.spef line 55480, *2821 not connected to net mprj_dat_i_user\[24\]. +Warning: ./spef/caravel.spef line 55492, *2821 not connected to net mprj_dat_i_user\[25\]. +Warning: ./spef/caravel.spef line 55505, *2821 not connected to net mprj_dat_i_user\[25\]. +Warning: ./spef/caravel.spef line 55517, *2821 not connected to net mprj_dat_i_user\[26\]. +Warning: ./spef/caravel.spef line 55534, *2821 not connected to net mprj_dat_i_user\[26\]. +Warning: ./spef/caravel.spef line 55546, *2821 not connected to net mprj_dat_i_user\[27\]. +Warning: ./spef/caravel.spef line 55562, *2821 not connected to net mprj_dat_i_user\[27\]. +Warning: ./spef/caravel.spef line 55574, *2821 not connected to net mprj_dat_i_user\[28\]. +Warning: ./spef/caravel.spef line 55594, *2821 not connected to net mprj_dat_i_user\[28\]. +Warning: ./spef/caravel.spef line 55607, *2821 not connected to net mprj_dat_i_user\[29\]. +Warning: ./spef/caravel.spef line 55630, *2821 not connected to net mprj_dat_i_user\[29\]. +Warning: ./spef/caravel.spef line 55645, *2821 not connected to net mprj_dat_i_user\[2\]. +Warning: ./spef/caravel.spef line 55659, *2821 not connected to net mprj_dat_i_user\[2\]. +Warning: ./spef/caravel.spef line 55671, *2821 not connected to net mprj_dat_i_user\[30\]. +Warning: ./spef/caravel.spef line 55684, *2821 not connected to net mprj_dat_i_user\[30\]. +Warning: ./spef/caravel.spef line 55695, *2821 not connected to net mprj_dat_i_user\[31\]. +Warning: ./spef/caravel.spef line 55711, *2821 not connected to net mprj_dat_i_user\[31\]. +Warning: ./spef/caravel.spef line 55723, *2821 not connected to net mprj_dat_i_user\[3\]. +Warning: ./spef/caravel.spef line 55753, *2821 not connected to net mprj_dat_i_user\[3\]. +Warning: ./spef/caravel.spef line 55768, *2821 not connected to net mprj_dat_i_user\[4\]. +Warning: ./spef/caravel.spef line 55781, *2821 not connected to net mprj_dat_i_user\[4\]. +Warning: ./spef/caravel.spef line 55793, *2821 not connected to net mprj_dat_i_user\[5\]. +Warning: ./spef/caravel.spef line 55813, *2821 not connected to net mprj_dat_i_user\[5\]. +Warning: ./spef/caravel.spef line 55825, *2821 not connected to net mprj_dat_i_user\[6\]. +Warning: ./spef/caravel.spef line 55838, *2821 not connected to net mprj_dat_i_user\[6\]. +Warning: ./spef/caravel.spef line 55850, *2821 not connected to net mprj_dat_i_user\[7\]. +Warning: ./spef/caravel.spef line 55878, *2821 not connected to net mprj_dat_i_user\[7\]. +Warning: ./spef/caravel.spef line 55892, *2821 not connected to net mprj_dat_i_user\[8\]. +Warning: ./spef/caravel.spef line 55905, *2821 not connected to net mprj_dat_i_user\[8\]. +Warning: ./spef/caravel.spef line 55916, *2821 not connected to net mprj_dat_i_user\[9\]. +Warning: ./spef/caravel.spef line 55926, *2821 not connected to net mprj_dat_i_user\[9\]. +Warning: ./spef/caravel.spef line 57603, *2821 not connected to net mprj_dat_o_user\[0\]. +Warning: ./spef/caravel.spef line 57622, *2821 not connected to net mprj_dat_o_user\[0\]. +Warning: ./spef/caravel.spef line 57630, *2821 not connected to net mprj_dat_o_user\[10\]. +Warning: ./spef/caravel.spef line 57648, *2821 not connected to net mprj_dat_o_user\[10\]. +Warning: ./spef/caravel.spef line 57656, *2821 not connected to net mprj_dat_o_user\[11\]. +Warning: ./spef/caravel.spef line 57684, *2821 not connected to net mprj_dat_o_user\[11\]. +Warning: ./spef/caravel.spef line 57692, *2821 not connected to net mprj_dat_o_user\[12\]. +Warning: ./spef/caravel.spef line 57714, *2821 not connected to net mprj_dat_o_user\[12\]. +Warning: ./spef/caravel.spef line 57722, *2821 not connected to net mprj_dat_o_user\[13\]. +Warning: ./spef/caravel.spef line 57740, *2821 not connected to net mprj_dat_o_user\[13\]. +Warning: ./spef/caravel.spef line 57748, *2821 not connected to net mprj_dat_o_user\[14\]. +Warning: ./spef/caravel.spef line 57769, *2821 not connected to net mprj_dat_o_user\[14\]. +Warning: ./spef/caravel.spef line 57777, *2821 not connected to net mprj_dat_o_user\[15\]. +Warning: ./spef/caravel.spef line 57795, *2821 not connected to net mprj_dat_o_user\[15\]. +Warning: ./spef/caravel.spef line 57803, *2821 not connected to net mprj_dat_o_user\[16\]. +Warning: ./spef/caravel.spef line 57828, *2821 not connected to net mprj_dat_o_user\[16\]. +Warning: ./spef/caravel.spef line 57836, *2821 not connected to net mprj_dat_o_user\[17\]. +Warning: ./spef/caravel.spef line 57857, *2821 not connected to net mprj_dat_o_user\[17\]. +Warning: ./spef/caravel.spef line 57865, *2821 not connected to net mprj_dat_o_user\[18\]. +Warning: ./spef/caravel.spef line 57882, *2821 not connected to net mprj_dat_o_user\[18\]. +Warning: ./spef/caravel.spef line 57890, *2821 not connected to net mprj_dat_o_user\[19\]. +Warning: ./spef/caravel.spef line 57908, *2821 not connected to net mprj_dat_o_user\[19\]. +Warning: ./spef/caravel.spef line 57916, *2821 not connected to net mprj_dat_o_user\[1\]. +Warning: ./spef/caravel.spef line 57935, *2821 not connected to net mprj_dat_o_user\[1\]. +Warning: ./spef/caravel.spef line 57943, *2821 not connected to net mprj_dat_o_user\[20\]. +Warning: ./spef/caravel.spef line 57960, *2821 not connected to net mprj_dat_o_user\[20\]. +Warning: ./spef/caravel.spef line 57968, *2821 not connected to net mprj_dat_o_user\[21\]. +Warning: ./spef/caravel.spef line 57989, *2821 not connected to net mprj_dat_o_user\[21\]. +Warning: ./spef/caravel.spef line 57997, *2821 not connected to net mprj_dat_o_user\[22\]. +Warning: ./spef/caravel.spef line 58025, *2821 not connected to net mprj_dat_o_user\[22\]. +Warning: ./spef/caravel.spef line 58033, *2821 not connected to net mprj_dat_o_user\[23\]. +Warning: ./spef/caravel.spef line 58051, *2821 not connected to net mprj_dat_o_user\[23\]. +Warning: ./spef/caravel.spef line 58059, *2821 not connected to net mprj_dat_o_user\[24\]. +Warning: ./spef/caravel.spef line 58076, *2821 not connected to net mprj_dat_o_user\[24\]. +Warning: ./spef/caravel.spef line 58084, *2821 not connected to net mprj_dat_o_user\[25\]. +Warning: ./spef/caravel.spef line 58101, *2821 not connected to net mprj_dat_o_user\[25\]. +Warning: ./spef/caravel.spef line 58109, *2821 not connected to net mprj_dat_o_user\[26\]. +Warning: ./spef/caravel.spef line 58129, *2821 not connected to net mprj_dat_o_user\[26\]. +Warning: ./spef/caravel.spef line 58137, *2821 not connected to net mprj_dat_o_user\[27\]. +Warning: ./spef/caravel.spef line 58157, *2821 not connected to net mprj_dat_o_user\[27\]. +Warning: ./spef/caravel.spef line 58165, *2821 not connected to net mprj_dat_o_user\[28\]. +Warning: ./spef/caravel.spef line 58194, *2821 not connected to net mprj_dat_o_user\[28\]. +Warning: ./spef/caravel.spef line 58202, *2821 not connected to net mprj_dat_o_user\[29\]. +Warning: ./spef/caravel.spef line 58222, *2821 not connected to net mprj_dat_o_user\[29\]. +Warning: ./spef/caravel.spef line 58230, *2821 not connected to net mprj_dat_o_user\[2\]. +Warning: ./spef/caravel.spef line 58249, *2821 not connected to net mprj_dat_o_user\[2\]. +Warning: ./spef/caravel.spef line 58257, *2821 not connected to net mprj_dat_o_user\[30\]. +Warning: ./spef/caravel.spef line 58275, *2821 not connected to net mprj_dat_o_user\[30\]. +Warning: ./spef/caravel.spef line 58283, *2821 not connected to net mprj_dat_o_user\[31\]. +Warning: ./spef/caravel.spef line 58304, *2821 not connected to net mprj_dat_o_user\[31\]. +Warning: ./spef/caravel.spef line 58312, *2821 not connected to net mprj_dat_o_user\[3\]. +Warning: ./spef/caravel.spef line 58331, *2821 not connected to net mprj_dat_o_user\[3\]. +Warning: ./spef/caravel.spef line 58339, *2821 not connected to net mprj_dat_o_user\[4\]. +Warning: ./spef/caravel.spef line 58376, *2821 not connected to net mprj_dat_o_user\[4\]. +Warning: ./spef/caravel.spef line 58384, *2821 not connected to net mprj_dat_o_user\[5\]. +Warning: ./spef/caravel.spef line 58404, *2821 not connected to net mprj_dat_o_user\[5\]. +Warning: ./spef/caravel.spef line 58412, *2821 not connected to net mprj_dat_o_user\[6\]. +Warning: ./spef/caravel.spef line 58433, *2821 not connected to net mprj_dat_o_user\[6\]. +Warning: ./spef/caravel.spef line 58441, *2821 not connected to net mprj_dat_o_user\[7\]. +Warning: ./spef/caravel.spef line 58460, *2821 not connected to net mprj_dat_o_user\[7\]. +Warning: ./spef/caravel.spef line 58468, *2821 not connected to net mprj_dat_o_user\[8\]. +Warning: ./spef/caravel.spef line 58488, *2821 not connected to net mprj_dat_o_user\[8\]. +Warning: ./spef/caravel.spef line 58496, *2821 not connected to net mprj_dat_o_user\[9\]. +Warning: ./spef/caravel.spef line 58513, *2821 not connected to net mprj_dat_o_user\[9\]. +Warning: ./spef/caravel.spef line 74291, *2821 not connected to net mprj_sel_o_user\[0\]. +Warning: ./spef/caravel.spef line 74307, *2821 not connected to net mprj_sel_o_user\[0\]. +Warning: ./spef/caravel.spef line 74315, *2821 not connected to net mprj_sel_o_user\[1\]. +Warning: ./spef/caravel.spef line 74333, *2821 not connected to net mprj_sel_o_user\[1\]. +Warning: ./spef/caravel.spef line 74341, *2821 not connected to net mprj_sel_o_user\[2\]. +Warning: ./spef/caravel.spef line 74360, *2821 not connected to net mprj_sel_o_user\[2\]. +Warning: ./spef/caravel.spef line 74368, *2821 not connected to net mprj_sel_o_user\[3\]. +Warning: ./spef/caravel.spef line 74387, *2821 not connected to net mprj_sel_o_user\[3\]. +Warning: ./spef/caravel.spef line 75154, *2823 not connected to net rstb_h. +Warning: ./spef/caravel.spef line 75155, *2742 not connected to net rstb_h. +Warning: ./spef/caravel.spef line 75179, *2742 not connected to net rstb_h. +Warning: ./spef/caravel.spef line 75184, *2823 not connected to net rstb_h. +Warning: ./spef/caravel.spef line 76410, *2821 not connected to net user_analog_io\[0\]. +Warning: ./spef/caravel.spef line 76427, *2821 not connected to net user_analog_io\[0\]. +Warning: ./spef/caravel.spef line 76435, *2821 not connected to net user_analog_io\[10\]. +Warning: ./spef/caravel.spef line 76451, *2821 not connected to net user_analog_io\[10\]. +Warning: ./spef/caravel.spef line 76459, *2821 not connected to net user_analog_io\[11\]. +Warning: ./spef/caravel.spef line 76488, *2821 not connected to net user_analog_io\[11\]. +Warning: ./spef/caravel.spef line 76496, *2821 not connected to net user_analog_io\[12\]. +Warning: ./spef/caravel.spef line 76517, *2821 not connected to net user_analog_io\[12\]. +Warning: ./spef/caravel.spef line 76525, *2821 not connected to net user_analog_io\[13\]. +Warning: ./spef/caravel.spef line 76547, *2821 not connected to net user_analog_io\[13\]. +Warning: ./spef/caravel.spef line 76573, *2821 not connected to net user_analog_io\[15\]. +Warning: ./spef/caravel.spef line 76583, *2821 not connected to net user_analog_io\[15\]. +Warning: ./spef/caravel.spef line 76591, *2821 not connected to net user_analog_io\[16\]. +Warning: ./spef/caravel.spef line 76622, *2821 not connected to net user_analog_io\[16\]. +Warning: ./spef/caravel.spef line 76630, *2821 not connected to net user_analog_io\[17\]. +Warning: ./spef/caravel.spef line 76641, *2821 not connected to net user_analog_io\[17\]. +Warning: ./spef/caravel.spef line 76649, *2821 not connected to net user_analog_io\[18\]. +Warning: ./spef/caravel.spef line 76667, *2821 not connected to net user_analog_io\[18\]. +Warning: ./spef/caravel.spef line 76675, *2821 not connected to net user_analog_io\[19\]. +Warning: ./spef/caravel.spef line 76695, *2821 not connected to net user_analog_io\[19\]. +Warning: ./spef/caravel.spef line 76703, *2821 not connected to net user_analog_io\[1\]. +Warning: ./spef/caravel.spef line 76726, *2821 not connected to net user_analog_io\[1\]. +Warning: ./spef/caravel.spef line 76734, *2821 not connected to net user_analog_io\[20\]. +Warning: ./spef/caravel.spef line 76762, *2821 not connected to net user_analog_io\[20\]. +Warning: ./spef/caravel.spef line 76770, *2821 not connected to net user_analog_io\[21\]. +Warning: ./spef/caravel.spef line 76792, *2821 not connected to net user_analog_io\[21\]. +Warning: ./spef/caravel.spef line 76800, *2821 not connected to net user_analog_io\[22\]. +Warning: ./spef/caravel.spef line 76821, *2821 not connected to net user_analog_io\[22\]. +Warning: ./spef/caravel.spef line 76829, *2821 not connected to net user_analog_io\[23\]. +Warning: ./spef/caravel.spef line 76849, *2821 not connected to net user_analog_io\[23\]. +Warning: ./spef/caravel.spef line 76857, *2821 not connected to net user_analog_io\[24\]. +Warning: ./spef/caravel.spef line 76873, *2821 not connected to net user_analog_io\[24\]. +Warning: ./spef/caravel.spef line 76881, *2821 not connected to net user_analog_io\[25\]. +Warning: ./spef/caravel.spef line 76899, *2821 not connected to net user_analog_io\[25\]. +Warning: ./spef/caravel.spef line 76907, *2821 not connected to net user_analog_io\[26\]. +Warning: ./spef/caravel.spef line 76924, *2821 not connected to net user_analog_io\[26\]. +Warning: ./spef/caravel.spef line 76932, *2821 not connected to net user_analog_io\[27\]. +Warning: ./spef/caravel.spef line 76956, *2821 not connected to net user_analog_io\[27\]. +Warning: ./spef/caravel.spef line 76964, *2821 not connected to net user_analog_io\[28\]. +Warning: ./spef/caravel.spef line 76983, *2821 not connected to net user_analog_io\[28\]. +Warning: ./spef/caravel.spef line 76991, *2821 not connected to net user_analog_io\[2\]. +Warning: ./spef/caravel.spef line 77013, *2821 not connected to net user_analog_io\[2\]. +Warning: ./spef/caravel.spef line 77021, *2821 not connected to net user_analog_io\[3\]. +Warning: ./spef/caravel.spef line 77040, *2821 not connected to net user_analog_io\[3\]. +Warning: ./spef/caravel.spef line 77048, *2821 not connected to net user_analog_io\[4\]. +Warning: ./spef/caravel.spef line 77075, *2821 not connected to net user_analog_io\[4\]. +Warning: ./spef/caravel.spef line 77083, *2821 not connected to net user_analog_io\[5\]. +Warning: ./spef/caravel.spef line 77108, *2821 not connected to net user_analog_io\[5\]. +Warning: ./spef/caravel.spef line 77116, *2821 not connected to net user_analog_io\[6\]. +Warning: ./spef/caravel.spef line 77134, *2821 not connected to net user_analog_io\[6\]. +Warning: ./spef/caravel.spef line 77142, *2821 not connected to net user_analog_io\[7\]. +Warning: ./spef/caravel.spef line 77165, *2821 not connected to net user_analog_io\[7\]. +Warning: ./spef/caravel.spef line 77173, *2821 not connected to net user_analog_io\[8\]. +Warning: ./spef/caravel.spef line 77182, *2821 not connected to net user_analog_io\[8\]. +Warning: ./spef/caravel.spef line 77190, *2821 not connected to net user_analog_io\[9\]. +Warning: ./spef/caravel.spef line 77211, *2821 not connected to net user_analog_io\[9\]. +Warning: ./spef/caravel.spef line 77219, *2821 not connected to net user_io_in\[0\]. +Warning: ./spef/caravel.spef line 77241, *2821 not connected to net user_io_in\[0\]. +Warning: ./spef/caravel.spef line 77249, *2821 not connected to net user_io_in\[10\]. +Warning: ./spef/caravel.spef line 77275, *2821 not connected to net user_io_in\[10\]. +Warning: ./spef/caravel.spef line 77283, *2821 not connected to net user_io_in\[11\]. +Warning: ./spef/caravel.spef line 77308, *2821 not connected to net user_io_in\[11\]. +Warning: ./spef/caravel.spef line 77316, *2821 not connected to net user_io_in\[12\]. +Warning: ./spef/caravel.spef line 77338, *2821 not connected to net user_io_in\[12\]. +Warning: ./spef/caravel.spef line 77346, *2821 not connected to net user_io_in\[13\]. +Warning: ./spef/caravel.spef line 77367, *2821 not connected to net user_io_in\[13\]. +Warning: ./spef/caravel.spef line 77375, *2821 not connected to net user_io_in\[14\]. +Warning: ./spef/caravel.spef line 77392, *2821 not connected to net user_io_in\[14\]. +Warning: ./spef/caravel.spef line 77400, *2821 not connected to net user_io_in\[15\]. +Warning: ./spef/caravel.spef line 77420, *2821 not connected to net user_io_in\[15\]. +Warning: ./spef/caravel.spef line 77428, *2821 not connected to net user_io_in\[16\]. +Warning: ./spef/caravel.spef line 77449, *2821 not connected to net user_io_in\[16\]. +Warning: ./spef/caravel.spef line 77457, *2821 not connected to net user_io_in\[17\]. +Warning: ./spef/caravel.spef line 77479, *2821 not connected to net user_io_in\[17\]. +Warning: ./spef/caravel.spef line 77487, *2821 not connected to net user_io_in\[18\]. +Warning: ./spef/caravel.spef line 77509, *2821 not connected to net user_io_in\[18\]. +Warning: ./spef/caravel.spef line 77517, *2821 not connected to net user_io_in\[19\]. +Warning: ./spef/caravel.spef line 77536, *2821 not connected to net user_io_in\[19\]. +Warning: ./spef/caravel.spef line 77544, *2821 not connected to net user_io_in\[1\]. +Warning: ./spef/caravel.spef line 77564, *2821 not connected to net user_io_in\[1\]. +Warning: ./spef/caravel.spef line 77572, *2821 not connected to net user_io_in\[20\]. +Warning: ./spef/caravel.spef line 77593, *2821 not connected to net user_io_in\[20\]. +Warning: ./spef/caravel.spef line 77601, *2821 not connected to net user_io_in\[21\]. +Warning: ./spef/caravel.spef line 77617, *2821 not connected to net user_io_in\[21\]. +Warning: ./spef/caravel.spef line 77625, *2821 not connected to net user_io_in\[22\]. +Warning: ./spef/caravel.spef line 77635, *2821 not connected to net user_io_in\[22\]. +Warning: ./spef/caravel.spef line 77643, *2821 not connected to net user_io_in\[23\]. +Warning: ./spef/caravel.spef line 77659, *2821 not connected to net user_io_in\[23\]. +Warning: ./spef/caravel.spef line 77667, *2821 not connected to net user_io_in\[24\]. +Warning: ./spef/caravel.spef line 77682, *2821 not connected to net user_io_in\[24\]. +Warning: ./spef/caravel.spef line 77690, *2821 not connected to net user_io_in\[25\]. +Warning: ./spef/caravel.spef line 77709, *2821 not connected to net user_io_in\[25\]. +Warning: ./spef/caravel.spef line 77717, *2821 not connected to net user_io_in\[26\]. +Warning: ./spef/caravel.spef line 77738, *2821 not connected to net user_io_in\[26\]. +Warning: ./spef/caravel.spef line 77746, *2821 not connected to net user_io_in\[27\]. +Warning: ./spef/caravel.spef line 77762, *2821 not connected to net user_io_in\[27\]. +Warning: ./spef/caravel.spef line 77770, *2821 not connected to net user_io_in\[28\]. +Warning: ./spef/caravel.spef line 77788, *2821 not connected to net user_io_in\[28\]. +Warning: ./spef/caravel.spef line 77796, *2821 not connected to net user_io_in\[29\]. +Warning: ./spef/caravel.spef line 77817, *2821 not connected to net user_io_in\[29\]. +Warning: ./spef/caravel.spef line 77825, *2821 not connected to net user_io_in\[2\]. +Warning: ./spef/caravel.spef line 77847, *2821 not connected to net user_io_in\[2\]. +Warning: ./spef/caravel.spef line 77855, *2821 not connected to net user_io_in\[30\]. +Warning: ./spef/caravel.spef line 77870, *2821 not connected to net user_io_in\[30\]. +Warning: ./spef/caravel.spef line 77878, *2821 not connected to net user_io_in\[31\]. +Warning: ./spef/caravel.spef line 77895, *2821 not connected to net user_io_in\[31\]. +Warning: ./spef/caravel.spef line 77903, *2821 not connected to net user_io_in\[32\]. +Warning: ./spef/caravel.spef line 77920, *2821 not connected to net user_io_in\[32\]. +Warning: ./spef/caravel.spef line 77928, *2821 not connected to net user_io_in\[33\]. +Warning: ./spef/caravel.spef line 77946, *2821 not connected to net user_io_in\[33\]. +Warning: ./spef/caravel.spef line 77954, *2821 not connected to net user_io_in\[34\]. +Warning: ./spef/caravel.spef line 77976, *2821 not connected to net user_io_in\[34\]. +Warning: ./spef/caravel.spef line 77984, *2821 not connected to net user_io_in\[35\]. +Warning: ./spef/caravel.spef line 78004, *2821 not connected to net user_io_in\[35\]. +Warning: ./spef/caravel.spef line 78012, *2821 not connected to net user_io_in\[36\]. +Warning: ./spef/caravel.spef line 78031, *2821 not connected to net user_io_in\[36\]. +Warning: ./spef/caravel.spef line 78039, *2821 not connected to net user_io_in\[37\]. +Warning: ./spef/caravel.spef line 78057, *2821 not connected to net user_io_in\[37\]. +Warning: ./spef/caravel.spef line 78065, *2821 not connected to net user_io_in\[3\]. +Warning: ./spef/caravel.spef line 78086, *2821 not connected to net user_io_in\[3\]. +Warning: ./spef/caravel.spef line 78094, *2821 not connected to net user_io_in\[4\]. +Warning: ./spef/caravel.spef line 78115, *2821 not connected to net user_io_in\[4\]. +Warning: ./spef/caravel.spef line 78123, *2821 not connected to net user_io_in\[5\]. +Warning: ./spef/caravel.spef line 78143, *2821 not connected to net user_io_in\[5\]. +Warning: ./spef/caravel.spef line 78151, *2821 not connected to net user_io_in\[6\]. +Warning: ./spef/caravel.spef line 78173, *2821 not connected to net user_io_in\[6\]. +Warning: ./spef/caravel.spef line 78181, *2821 not connected to net user_io_in\[7\]. +Warning: ./spef/caravel.spef line 78204, *2821 not connected to net user_io_in\[7\]. +Warning: ./spef/caravel.spef line 78212, *2821 not connected to net user_io_in\[8\]. +Warning: ./spef/caravel.spef line 78237, *2821 not connected to net user_io_in\[8\]. +Warning: ./spef/caravel.spef line 78245, *2821 not connected to net user_io_in\[9\]. +Warning: ./spef/caravel.spef line 78269, *2821 not connected to net user_io_in\[9\]. +Warning: ./spef/caravel.spef line 78278, *2821 not connected to net user_io_oeb\[0\]. +Warning: ./spef/caravel.spef line 78294, *2821 not connected to net user_io_oeb\[0\]. +Warning: ./spef/caravel.spef line 78308, *2821 not connected to net user_io_oeb\[10\]. +Warning: ./spef/caravel.spef line 78330, *2821 not connected to net user_io_oeb\[10\]. +Warning: ./spef/caravel.spef line 78344, *2821 not connected to net user_io_oeb\[11\]. +Warning: ./spef/caravel.spef line 78356, *2821 not connected to net user_io_oeb\[11\]. +Warning: ./spef/caravel.spef line 78370, *2821 not connected to net user_io_oeb\[12\]. +Warning: ./spef/caravel.spef line 78382, *2821 not connected to net user_io_oeb\[12\]. +Warning: ./spef/caravel.spef line 78396, *2821 not connected to net user_io_oeb\[13\]. +Warning: ./spef/caravel.spef line 78412, *2821 not connected to net user_io_oeb\[13\]. +Warning: ./spef/caravel.spef line 78426, *2821 not connected to net user_io_oeb\[14\]. +Warning: ./spef/caravel.spef line 78440, *2821 not connected to net user_io_oeb\[14\]. +Warning: ./spef/caravel.spef line 78453, *2821 not connected to net user_io_oeb\[15\]. +Warning: ./spef/caravel.spef line 78468, *2821 not connected to net user_io_oeb\[15\]. +Warning: ./spef/caravel.spef line 78482, *2821 not connected to net user_io_oeb\[16\]. +Warning: ./spef/caravel.spef line 78495, *2821 not connected to net user_io_oeb\[16\]. +Warning: ./spef/caravel.spef line 78508, *2821 not connected to net user_io_oeb\[17\]. +Warning: ./spef/caravel.spef line 78524, *2821 not connected to net user_io_oeb\[17\]. +Warning: ./spef/caravel.spef line 78537, *2821 not connected to net user_io_oeb\[18\]. +Warning: ./spef/caravel.spef line 78550, *2821 not connected to net user_io_oeb\[18\]. +Warning: ./spef/caravel.spef line 78563, *2821 not connected to net user_io_oeb\[19\]. +Warning: ./spef/caravel.spef line 78570, *2821 not connected to net user_io_oeb\[19\]. +Warning: ./spef/caravel.spef line 78584, *2821 not connected to net user_io_oeb\[1\]. +Warning: ./spef/caravel.spef line 78603, *2821 not connected to net user_io_oeb\[1\]. +Warning: ./spef/caravel.spef line 78617, *2821 not connected to net user_io_oeb\[20\]. +Warning: ./spef/caravel.spef line 78628, *2821 not connected to net user_io_oeb\[20\]. +Warning: ./spef/caravel.spef line 78639, *2821 not connected to net user_io_oeb\[21\]. +Warning: ./spef/caravel.spef line 78652, *2821 not connected to net user_io_oeb\[21\]. +Warning: ./spef/caravel.spef line 78665, *2821 not connected to net user_io_oeb\[22\]. +Warning: ./spef/caravel.spef line 78679, *2821 not connected to net user_io_oeb\[22\]. +Warning: ./spef/caravel.spef line 78693, *2821 not connected to net user_io_oeb\[23\]. +Warning: ./spef/caravel.spef line 78713, *2821 not connected to net user_io_oeb\[23\]. +Warning: ./spef/caravel.spef line 78728, *2821 not connected to net user_io_oeb\[24\]. +Warning: ./spef/caravel.spef line 78737, *2821 not connected to net user_io_oeb\[24\]. +Warning: ./spef/caravel.spef line 78748, *2821 not connected to net user_io_oeb\[25\]. +Warning: ./spef/caravel.spef line 78764, *2821 not connected to net user_io_oeb\[25\]. +Warning: ./spef/caravel.spef line 78778, *2821 not connected to net user_io_oeb\[26\]. +Warning: ./spef/caravel.spef line 78792, *2821 not connected to net user_io_oeb\[26\]. +Warning: ./spef/caravel.spef line 78806, *2821 not connected to net user_io_oeb\[27\]. +Warning: ./spef/caravel.spef line 78814, *2821 not connected to net user_io_oeb\[27\]. +Warning: ./spef/caravel.spef line 78825, *2821 not connected to net user_io_oeb\[28\]. +Warning: ./spef/caravel.spef line 78841, *2821 not connected to net user_io_oeb\[28\]. +Warning: ./spef/caravel.spef line 78855, *2821 not connected to net user_io_oeb\[29\]. +Warning: ./spef/caravel.spef line 78870, *2821 not connected to net user_io_oeb\[29\]. +Warning: ./spef/caravel.spef line 78884, *2821 not connected to net user_io_oeb\[2\]. +Warning: ./spef/caravel.spef line 78902, *2821 not connected to net user_io_oeb\[2\]. +Warning: ./spef/caravel.spef line 78917, *2821 not connected to net user_io_oeb\[30\]. +Warning: ./spef/caravel.spef line 78930, *2821 not connected to net user_io_oeb\[30\]. +Warning: ./spef/caravel.spef line 78941, *2821 not connected to net user_io_oeb\[31\]. +Warning: ./spef/caravel.spef line 78947, *2821 not connected to net user_io_oeb\[31\]. +Warning: ./spef/caravel.spef line 78960, *2821 not connected to net user_io_oeb\[32\]. +Warning: ./spef/caravel.spef line 78972, *2821 not connected to net user_io_oeb\[32\]. +Warning: ./spef/caravel.spef line 78983, *2821 not connected to net user_io_oeb\[33\]. +Warning: ./spef/caravel.spef line 78996, *2821 not connected to net user_io_oeb\[33\]. +Warning: ./spef/caravel.spef line 79010, *2821 not connected to net user_io_oeb\[34\]. +Warning: ./spef/caravel.spef line 79021, *2821 not connected to net user_io_oeb\[34\]. +Warning: ./spef/caravel.spef line 79034, *2821 not connected to net user_io_oeb\[35\]. +Warning: ./spef/caravel.spef line 79049, *2821 not connected to net user_io_oeb\[35\]. +Warning: ./spef/caravel.spef line 79063, *2821 not connected to net user_io_oeb\[36\]. +Warning: ./spef/caravel.spef line 79074, *2821 not connected to net user_io_oeb\[36\]. +Warning: ./spef/caravel.spef line 79087, *2821 not connected to net user_io_oeb\[37\]. +Warning: ./spef/caravel.spef line 79099, *2821 not connected to net user_io_oeb\[37\]. +Warning: ./spef/caravel.spef line 79112, *2821 not connected to net user_io_oeb\[3\]. +Warning: ./spef/caravel.spef line 79128, *2821 not connected to net user_io_oeb\[3\]. +Warning: ./spef/caravel.spef line 79143, *2821 not connected to net user_io_oeb\[4\]. +Warning: ./spef/caravel.spef line 79161, *2821 not connected to net user_io_oeb\[4\]. +Warning: ./spef/caravel.spef line 79175, *2821 not connected to net user_io_oeb\[5\]. +Warning: ./spef/caravel.spef line 79192, *2821 not connected to net user_io_oeb\[5\]. +Warning: ./spef/caravel.spef line 79206, *2821 not connected to net user_io_oeb\[6\]. +Warning: ./spef/caravel.spef line 79225, *2821 not connected to net user_io_oeb\[6\]. +Warning: ./spef/caravel.spef line 79240, *2821 not connected to net user_io_oeb\[7\]. +Warning: ./spef/caravel.spef line 79257, *2821 not connected to net user_io_oeb\[7\]. +Warning: ./spef/caravel.spef line 79271, *2821 not connected to net user_io_oeb\[8\]. +Warning: ./spef/caravel.spef line 79284, *2821 not connected to net user_io_oeb\[8\]. +Warning: ./spef/caravel.spef line 79297, *2821 not connected to net user_io_oeb\[9\]. +Warning: ./spef/caravel.spef line 79313, *2821 not connected to net user_io_oeb\[9\]. +Warning: ./spef/caravel.spef line 79328, *2821 not connected to net user_io_out\[0\]. +Warning: ./spef/caravel.spef line 79346, *2821 not connected to net user_io_out\[0\]. +Warning: ./spef/caravel.spef line 79360, *2821 not connected to net user_io_out\[10\]. +Warning: ./spef/caravel.spef line 79379, *2821 not connected to net user_io_out\[10\]. +Warning: ./spef/caravel.spef line 79393, *2821 not connected to net user_io_out\[11\]. +Warning: ./spef/caravel.spef line 79405, *2821 not connected to net user_io_out\[11\]. +Warning: ./spef/caravel.spef line 79420, *2821 not connected to net user_io_out\[12\]. +Warning: ./spef/caravel.spef line 79437, *2821 not connected to net user_io_out\[12\]. +Warning: ./spef/caravel.spef line 79451, *2821 not connected to net user_io_out\[13\]. +Warning: ./spef/caravel.spef line 79467, *2821 not connected to net user_io_out\[13\]. +Warning: ./spef/caravel.spef line 79482, *2821 not connected to net user_io_out\[14\]. +Warning: ./spef/caravel.spef line 79496, *2821 not connected to net user_io_out\[14\]. +Warning: ./spef/caravel.spef line 79509, *2821 not connected to net user_io_out\[15\]. +Warning: ./spef/caravel.spef line 79521, *2821 not connected to net user_io_out\[15\]. +Warning: ./spef/caravel.spef line 79534, *2821 not connected to net user_io_out\[16\]. +Warning: ./spef/caravel.spef line 79547, *2821 not connected to net user_io_out\[16\]. +Warning: ./spef/caravel.spef line 79560, *2821 not connected to net user_io_out\[17\]. +Warning: ./spef/caravel.spef line 79572, *2821 not connected to net user_io_out\[17\]. +Warning: ./spef/caravel.spef line 79585, *2821 not connected to net user_io_out\[18\]. +Warning: ./spef/caravel.spef line 79601, *2821 not connected to net user_io_out\[18\]. +Warning: ./spef/caravel.spef line 79614, *2821 not connected to net user_io_out\[19\]. +Warning: ./spef/caravel.spef line 79622, *2821 not connected to net user_io_out\[19\]. +Warning: ./spef/caravel.spef line 79634, *2821 not connected to net user_io_out\[1\]. +Warning: ./spef/caravel.spef line 79658, *2821 not connected to net user_io_out\[1\]. +Warning: ./spef/caravel.spef line 79673, *2821 not connected to net user_io_out\[20\]. +Warning: ./spef/caravel.spef line 79686, *2821 not connected to net user_io_out\[20\]. +Warning: ./spef/caravel.spef line 79699, *2821 not connected to net user_io_out\[21\]. +Warning: ./spef/caravel.spef line 79706, *2821 not connected to net user_io_out\[21\]. +Warning: ./spef/caravel.spef line 79717, *2821 not connected to net user_io_out\[22\]. +Warning: ./spef/caravel.spef line 79726, *2821 not connected to net user_io_out\[22\]. +Warning: ./spef/caravel.spef line 79737, *2821 not connected to net user_io_out\[23\]. +Warning: ./spef/caravel.spef line 79751, *2821 not connected to net user_io_out\[23\]. +Warning: ./spef/caravel.spef line 79765, *2821 not connected to net user_io_out\[24\]. +Warning: ./spef/caravel.spef line 79774, *2821 not connected to net user_io_out\[24\]. +Warning: ./spef/caravel.spef line 79785, *2821 not connected to net user_io_out\[25\]. +Warning: ./spef/caravel.spef line 79799, *2821 not connected to net user_io_out\[25\]. +Warning: ./spef/caravel.spef line 79812, *2821 not connected to net user_io_out\[26\]. +Warning: ./spef/caravel.spef line 79823, *2821 not connected to net user_io_out\[26\]. +Warning: ./spef/caravel.spef line 79837, *2821 not connected to net user_io_out\[27\]. +Warning: ./spef/caravel.spef line 79853, *2821 not connected to net user_io_out\[27\]. +Warning: ./spef/caravel.spef line 79864, *2821 not connected to net user_io_out\[28\]. +Warning: ./spef/caravel.spef line 79876, *2821 not connected to net user_io_out\[28\]. +Warning: ./spef/caravel.spef line 79890, *2821 not connected to net user_io_out\[29\]. +Warning: ./spef/caravel.spef line 79902, *2821 not connected to net user_io_out\[29\]. +Warning: ./spef/caravel.spef line 79916, *2821 not connected to net user_io_out\[2\]. +Warning: ./spef/caravel.spef line 79933, *2821 not connected to net user_io_out\[2\]. +Warning: ./spef/caravel.spef line 79947, *2821 not connected to net user_io_out\[30\]. +Warning: ./spef/caravel.spef line 79960, *2821 not connected to net user_io_out\[30\]. +Warning: ./spef/caravel.spef line 79974, *2821 not connected to net user_io_out\[31\]. +Warning: ./spef/caravel.spef line 79984, *2821 not connected to net user_io_out\[31\]. +Warning: ./spef/caravel.spef line 79998, *2821 not connected to net user_io_out\[32\]. +Warning: ./spef/caravel.spef line 80015, *2821 not connected to net user_io_out\[32\]. +Warning: ./spef/caravel.spef line 80028, *2821 not connected to net user_io_out\[33\]. +Warning: ./spef/caravel.spef line 80040, *2821 not connected to net user_io_out\[33\]. +Warning: ./spef/caravel.spef line 80054, *2821 not connected to net user_io_out\[34\]. +Warning: ./spef/caravel.spef line 80072, *2821 not connected to net user_io_out\[34\]. +Warning: ./spef/caravel.spef line 80085, *2821 not connected to net user_io_out\[35\]. +Warning: ./spef/caravel.spef line 80098, *2821 not connected to net user_io_out\[35\]. +Warning: ./spef/caravel.spef line 80112, *2821 not connected to net user_io_out\[36\]. +Warning: ./spef/caravel.spef line 80123, *2821 not connected to net user_io_out\[36\]. +Warning: ./spef/caravel.spef line 80137, *2821 not connected to net user_io_out\[37\]. +Warning: ./spef/caravel.spef line 80156, *2821 not connected to net user_io_out\[37\]. +Warning: ./spef/caravel.spef line 80169, *2821 not connected to net user_io_out\[3\]. +Warning: ./spef/caravel.spef line 80186, *2821 not connected to net user_io_out\[3\]. +Warning: ./spef/caravel.spef line 80200, *2821 not connected to net user_io_out\[4\]. +Warning: ./spef/caravel.spef line 80212, *2821 not connected to net user_io_out\[4\]. +Warning: ./spef/caravel.spef line 80227, *2821 not connected to net user_io_out\[5\]. +Warning: ./spef/caravel.spef line 80244, *2821 not connected to net user_io_out\[5\]. +Warning: ./spef/caravel.spef line 80258, *2821 not connected to net user_io_out\[6\]. +Warning: ./spef/caravel.spef line 80272, *2821 not connected to net user_io_out\[6\]. +Warning: ./spef/caravel.spef line 80287, *2821 not connected to net user_io_out\[7\]. +Warning: ./spef/caravel.spef line 80301, *2821 not connected to net user_io_out\[7\]. +Warning: ./spef/caravel.spef line 80315, *2821 not connected to net user_io_out\[8\]. +Warning: ./spef/caravel.spef line 80333, *2821 not connected to net user_io_out\[8\]. +Warning: ./spef/caravel.spef line 80348, *2821 not connected to net user_io_out\[9\]. +Warning: ./spef/caravel.spef line 80365, *2821 not connected to net user_io_out\[9\]. +Warning: ./spef/caravel.spef line 80460, *2821 not connected to net user_irq_core\[0\]. +Warning: ./spef/caravel.spef line 80473, *2821 not connected to net user_irq_core\[0\]. +Warning: ./spef/caravel.spef line 80507, *2821 not connected to net user_irq_core\[2\]. +Warning: ./spef/caravel.spef line 80520, *2821 not connected to net user_irq_core\[2\]. +set ::env(IO_PCT) "0.2" +DFFRAM_0coresocpadframerstb_levelpllhousekeepingpowergood_checkmprj_logic_high_instmprj2_logic_high_instmgmt_buffersgpio_control_bidir_1[0]gpio_control_bidir_1[1]gpio_control_bidir_2[1]gpio_control_bidir_2[2]gpio_control_in_1[0]gpio_control_in_1[10]gpio_control_in_1[1]gpio_control_in_1[2]gpio_control_in_1[3]gpio_control_in_1[4]gpio_control_in_1[5]gpio_control_in_1[6]gpio_control_in_1[7]gpio_control_in_1[8]gpio_control_in_1[9]gpio_control_in_1a[0]gpio_control_in_1a[1]gpio_control_in_1a[2]gpio_control_in_1a[3]gpio_control_in_1a[4]gpio_control_in_1a[5]gpio_control_in_2[0]gpio_control_in_2[10]gpio_control_in_2[11]gpio_control_in_2[12]gpio_control_in_2[13]gpio_control_in_2[14]gpio_control_in_2[15]gpio_control_in_2[1]gpio_control_in_2[2]gpio_control_in_2[3]gpio_control_in_2[4]gpio_control_in_2[5]gpio_control_in_2[6]gpio_control_in_2[7]gpio_control_in_2[8]gpio_control_in_2[9]gpio_defaults_block_0gpio_defaults_block_1gpio_defaults_block_2gpio_defaults_block_3gpio_defaults_block_4gpio_defaults_block_5gpio_defaults_block_6gpio_defaults_block_7gpio_defaults_block_8gpio_defaults_block_9gpio_defaults_block_10gpio_defaults_block_11gpio_defaults_block_12gpio_defaults_block_13gpio_defaults_block_14gpio_defaults_block_15gpio_defaults_block_16gpio_defaults_block_17gpio_defaults_block_18gpio_defaults_block_19gpio_defaults_block_20gpio_defaults_block_21gpio_defaults_block_22gpio_defaults_block_23gpio_defaults_block_24gpio_defaults_block_25gpio_defaults_block_26gpio_defaults_block_27gpio_defaults_block_28gpio_defaults_block_29gpio_defaults_block_30gpio_defaults_block_31gpio_defaults_block_32gpio_defaults_block_33gpio_defaults_block_34gpio_defaults_block_35gpio_defaults_block_36gpio_defaults_block_37set ::env(SYNTH_MAX_FANOUT) "5" +set ::env(SYNTH_CAP_LOAD) "33" +set ::env(SYNTH_TIMING_DERATE) 0.05 +set ::env(SYNTH_CLOCK_UNCERTAINITY) 0.25 +set ::env(SYNTH_CLOCK_TRANSITION) 0.15 +## MASTER CLOCKS +create_clock [get_ports {"clock"} ] -name "clock" -period 25 +set_propagated_clock [get_clocks {"clock"}] +## INPUT/OUTPUT DELAYS +set input_delay_value 1 +set output_delay_value [expr 25 * $::env(IO_PCT)] +puts "\[INFO\]: Setting output delay to: $output_delay_value" +[INFO]: Setting output delay to: 5.0 +puts "\[INFO\]: Setting input delay to: $input_delay_value" +[INFO]: Setting input delay to: 1 +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {gpio}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[0]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[1]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[2]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[3]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[4]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[5]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[6]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[7]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[8]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[9]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[10]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[11]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[12]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[13]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[14]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[15]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[16]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[17]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[18]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[19]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[20]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[21]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[22]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[23]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[24]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[25]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[26]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[27]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[28]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[29]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[30]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[31]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[32]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[33]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[34]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[35]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[36]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[37]}] +set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_csb}] +set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_clk}] +set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_io0}] +set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_io1}] +set_max_fanout $::env(SYNTH_MAX_FANOUT) [current_design] +## Set system monitoring mux select to zero so that the clock/user_clk monitoring is disabled +set_case_analysis 0 [get_pins housekeeping/_4449_/S] +set_case_analysis 0 [get_pins housekeeping/_4450_/S] +## FALSE PATHS (ASYNCHRONOUS INPUTS) +set_false_path -from [get_ports {resetb}] +set_false_path -from [get_ports mprj_io[*]] +set_false_path -from [get_ports gpio] +# TODO set this as parameter +set cap_load [expr $::env(SYNTH_CAP_LOAD) / 1000.0] +puts "\[INFO\]: Setting load to: $cap_load" +[INFO]: Setting load to: 0.033 +set_load $cap_load [all_outputs] +puts "\[INFO\]: Setting timing derate to: [expr {$::env(SYNTH_TIMING_DERATE) * 10}] %" +[INFO]: Setting timing derate to: 0.5 % +set_timing_derate -early [expr {1-$::env(SYNTH_TIMING_DERATE)}] +set_timing_derate -late [expr {1+$::env(SYNTH_TIMING_DERATE)}] +puts "\[INFO\]: Setting clock uncertainity to: $::env(SYNTH_CLOCK_UNCERTAINITY)" +[INFO]: Setting clock uncertainity to: 0.25 +set_clock_uncertainty $::env(SYNTH_CLOCK_UNCERTAINITY) [get_clocks {clock}] +puts "\[INFO\]: Setting clock transition to: $::env(SYNTH_CLOCK_TRANSITION)" +[INFO]: Setting clock transition to: 0.15 +set_clock_transition $::env(SYNTH_CLOCK_TRANSITION) [get_clocks {clock}] +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9640_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 1.92 14.42 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.99 16.40 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 16.40 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.25 16.65 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 16.65 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.15 16.80 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 16.80 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.60 17.40 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 17.40 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.85 18.25 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 18.26 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.13 18.38 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 18.39 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.66 19.05 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 19.05 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.11 19.17 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 19.17 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.25 19.42 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 19.42 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.36 19.78 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.49 0.13 19.91 ^ housekeeping/_9640_/RESET_B (sky130_fd_sc_hd__dfrtp_2) + 19.91 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.92 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 6.92 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.21 7.13 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 7.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.35 7.48 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 7.48 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.40 7.88 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.20 0.00 7.88 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.77 0.78 8.66 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.77 0.01 8.66 ^ housekeeping/_9640_/CLK (sky130_fd_sc_hd__dfrtp_2) + 0.25 8.91 clock uncertainty + -1.05 7.87 clock reconvergence pessimism + 0.99 8.86 library removal time + 8.86 data required time +----------------------------------------------------------------------------- + 8.86 data required time + -19.91 data arrival time +----------------------------------------------------------------------------- + 11.04 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9759_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 1.92 14.42 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.99 16.40 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 16.40 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.25 16.65 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 16.65 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.15 16.80 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 16.80 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.60 17.40 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 17.40 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.85 18.25 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 18.26 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.13 18.38 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 18.39 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.66 19.05 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 19.05 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.11 19.17 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 19.17 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.25 19.42 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 19.42 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.36 19.78 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.49 0.13 19.91 ^ housekeeping/_9759_/RESET_B (sky130_fd_sc_hd__dfrtp_4) + 19.91 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.92 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 6.92 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.21 7.13 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 7.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.35 7.48 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 7.48 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.40 7.88 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.20 0.00 7.88 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.77 0.78 8.66 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.77 0.01 8.66 ^ housekeeping/_9759_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.25 8.91 clock uncertainty + -1.05 7.87 clock reconvergence pessimism + 1.00 8.87 library removal time + 8.87 data required time +----------------------------------------------------------------------------- + 8.87 data required time + -19.91 data arrival time +----------------------------------------------------------------------------- + 11.04 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9587_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 1.92 14.42 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.99 16.40 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 16.40 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.25 16.65 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 16.65 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.15 16.80 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 16.80 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.60 17.40 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 17.40 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.85 18.25 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 18.26 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.13 18.38 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 18.39 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.66 19.05 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 19.05 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.11 19.17 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 19.17 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.25 19.42 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 19.42 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.36 19.78 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.49 0.13 19.91 ^ housekeeping/_9587_/RESET_B (sky130_fd_sc_hd__dfrtp_4) + 19.91 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.92 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 6.92 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.21 7.13 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 7.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.35 7.48 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 7.48 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.40 7.88 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.20 0.00 7.88 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.77 0.78 8.66 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.77 0.01 8.66 ^ housekeeping/_9587_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.25 8.91 clock uncertainty + -1.05 7.87 clock reconvergence pessimism + 1.00 8.87 library removal time + 8.87 data required time +----------------------------------------------------------------------------- + 8.87 data required time + -19.91 data arrival time +----------------------------------------------------------------------------- + 11.05 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9062_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 1.92 14.42 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.99 16.40 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 16.40 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.25 16.65 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 16.65 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.15 16.80 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 16.80 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.60 17.40 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 17.40 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.85 18.25 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 18.26 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.13 18.38 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 18.39 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.66 19.05 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 19.05 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.11 19.17 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 19.17 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.25 19.42 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 19.42 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.36 19.78 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.49 0.13 19.91 ^ housekeeping/_9062_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 19.91 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.92 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 6.92 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.21 7.13 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 7.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.35 7.48 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 7.48 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.40 7.88 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.20 0.00 7.88 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.77 0.78 8.66 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.77 0.00 8.66 ^ housekeeping/_9062_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 8.91 clock uncertainty + -1.05 7.87 clock reconvergence pessimism + 1.00 8.86 library removal time + 8.86 data required time +----------------------------------------------------------------------------- + 8.86 data required time + -19.91 data arrival time +----------------------------------------------------------------------------- + 11.05 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9119_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 1.92 14.42 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.99 16.40 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 16.40 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.25 16.65 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 16.65 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.15 16.80 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 16.80 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.60 17.40 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 17.40 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.85 18.25 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 18.26 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.13 18.38 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 18.39 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.66 19.05 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 19.05 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.11 19.17 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 19.17 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.25 19.42 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 19.42 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.36 19.78 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.50 0.14 19.92 ^ housekeeping/_9119_/RESET_B (sky130_fd_sc_hd__dfrtp_4) + 19.92 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.92 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 6.92 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.21 7.13 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 7.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.35 7.48 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 7.48 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.40 7.88 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.20 0.00 7.88 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.77 0.78 8.66 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.77 0.00 8.66 ^ housekeeping/_9119_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.25 8.91 clock uncertainty + -1.05 7.86 clock reconvergence pessimism + 1.00 8.86 library removal time + 8.86 data required time +----------------------------------------------------------------------------- + 8.86 data required time + -19.92 data arrival time +----------------------------------------------------------------------------- + 11.05 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9067_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 1.92 14.42 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.99 16.40 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 16.40 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.25 16.65 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 16.65 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.15 16.80 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 16.80 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.60 17.40 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 17.40 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.85 18.25 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 18.26 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.13 18.38 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 18.39 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.66 19.05 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 19.05 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.11 19.17 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 19.17 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.25 19.42 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 19.42 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.36 19.78 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.50 0.14 19.92 ^ housekeeping/_9067_/RESET_B (sky130_fd_sc_hd__dfrtp_4) + 19.92 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.92 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 6.92 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.21 7.13 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 7.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.35 7.48 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 7.48 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.40 7.88 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.20 0.00 7.88 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.77 0.78 8.66 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.77 0.00 8.66 ^ housekeeping/_9067_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.25 8.91 clock uncertainty + -1.05 7.87 clock reconvergence pessimism + 1.00 8.87 library removal time + 8.87 data required time +----------------------------------------------------------------------------- + 8.87 data required time + -19.92 data arrival time +----------------------------------------------------------------------------- + 11.05 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9066_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 1.92 14.42 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.99 16.40 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 16.40 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.25 16.65 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 16.65 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.15 16.80 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 16.80 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.60 17.40 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 17.40 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.85 18.25 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 18.26 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.13 18.38 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 18.39 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.66 19.05 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 19.05 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.11 19.17 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 19.17 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.25 19.42 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 19.42 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.36 19.78 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.50 0.14 19.92 ^ housekeeping/_9066_/RESET_B (sky130_fd_sc_hd__dfrtp_4) + 19.92 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.92 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 6.92 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.21 7.13 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 7.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.35 7.48 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 7.48 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.40 7.88 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.20 0.00 7.88 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.77 0.78 8.66 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.77 0.00 8.66 ^ housekeeping/_9066_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.25 8.91 clock uncertainty + -1.05 7.87 clock reconvergence pessimism + 1.00 8.87 library removal time + 8.87 data required time +----------------------------------------------------------------------------- + 8.87 data required time + -19.92 data arrival time +----------------------------------------------------------------------------- + 11.06 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9068_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 1.92 14.42 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.99 16.40 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 16.40 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.25 16.65 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 16.65 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.15 16.80 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 16.80 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.60 17.40 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 17.40 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.85 18.25 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 18.26 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.13 18.38 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 18.39 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.66 19.05 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 19.05 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.11 19.17 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 19.17 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.25 19.42 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 19.42 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.36 19.78 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.50 0.14 19.92 ^ housekeeping/_9068_/RESET_B (sky130_fd_sc_hd__dfrtp_4) + 19.92 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.92 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 6.92 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.21 7.13 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 7.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.35 7.48 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 7.48 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.40 7.88 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.20 0.00 7.88 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.77 0.78 8.66 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.77 0.00 8.66 ^ housekeeping/_9068_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.25 8.91 clock uncertainty + -1.05 7.87 clock reconvergence pessimism + 1.00 8.87 library removal time + 8.87 data required time +----------------------------------------------------------------------------- + 8.87 data required time + -19.92 data arrival time +----------------------------------------------------------------------------- + 11.06 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9060_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 1.92 14.42 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.99 16.40 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 16.40 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.25 16.65 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 16.65 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.15 16.80 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 16.80 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.60 17.40 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 17.40 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.85 18.25 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 18.26 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.13 18.38 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 18.39 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.66 19.05 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 19.05 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.11 19.17 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 19.17 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.25 19.42 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 19.42 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.36 19.78 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.50 0.14 19.92 ^ housekeeping/_9060_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 19.92 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.92 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 6.92 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.21 7.13 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 7.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.35 7.48 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 7.48 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.40 7.88 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.20 0.00 7.88 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.77 0.78 8.66 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.77 0.00 8.66 ^ housekeeping/_9060_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 8.91 clock uncertainty + -1.05 7.86 clock reconvergence pessimism + 1.00 8.86 library removal time + 8.86 data required time +----------------------------------------------------------------------------- + 8.86 data required time + -19.92 data arrival time +----------------------------------------------------------------------------- + 11.06 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9064_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 1.92 14.42 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.99 16.40 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 16.40 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.25 16.65 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 16.65 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.15 16.80 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 16.80 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.60 17.40 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 17.40 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.85 18.25 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 18.26 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.13 18.38 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 18.39 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.66 19.05 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 19.05 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.11 19.17 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 19.17 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.25 19.42 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 19.42 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.36 19.78 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.50 0.14 19.92 ^ housekeeping/_9064_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 19.92 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.92 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 6.92 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.21 7.13 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 7.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.35 7.48 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 7.48 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.40 7.88 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.20 0.00 7.88 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.77 0.78 8.66 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.77 0.00 8.66 ^ housekeeping/_9064_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 8.91 clock uncertainty + -1.05 7.87 clock reconvergence pessimism + 1.00 8.87 library removal time + 8.87 data required time +----------------------------------------------------------------------------- + 8.87 data required time + -19.92 data arrival time +----------------------------------------------------------------------------- + 11.06 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9063_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 1.92 14.42 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.99 16.40 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 16.40 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.25 16.65 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 16.65 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.15 16.80 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 16.80 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.60 17.40 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 17.40 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.85 18.25 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 18.26 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.13 18.38 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 18.39 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.66 19.05 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 19.05 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.11 19.17 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 19.17 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.25 19.42 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 19.42 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.36 19.78 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.50 0.14 19.92 ^ housekeeping/_9063_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 19.92 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.92 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 6.92 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.21 7.13 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 7.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.35 7.48 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 7.48 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.40 7.88 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.20 0.00 7.88 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.77 0.78 8.66 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.77 0.00 8.66 ^ housekeeping/_9063_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 8.91 clock uncertainty + -1.05 7.87 clock reconvergence pessimism + 1.00 8.86 library removal time + 8.86 data required time +----------------------------------------------------------------------------- + 8.86 data required time + -19.92 data arrival time +----------------------------------------------------------------------------- + 11.06 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9061_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 1.92 14.42 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.99 16.40 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 16.40 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.25 16.65 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 16.65 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.15 16.80 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 16.80 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.60 17.40 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 17.40 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.85 18.25 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 18.26 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.13 18.38 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 18.39 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.66 19.05 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 19.05 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.11 19.17 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 19.17 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.25 19.42 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 19.42 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.36 19.78 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.50 0.14 19.92 ^ housekeeping/_9061_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 19.92 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.92 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 6.92 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.21 7.13 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 7.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.35 7.48 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 7.48 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.40 7.88 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.20 0.00 7.88 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.77 0.78 8.66 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.77 0.00 8.66 ^ housekeeping/_9061_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 8.91 clock uncertainty + -1.05 7.87 clock reconvergence pessimism + 1.00 8.86 library removal time + 8.86 data required time +----------------------------------------------------------------------------- + 8.86 data required time + -19.92 data arrival time +----------------------------------------------------------------------------- + 11.06 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9666_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 1.92 14.42 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.99 16.40 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 16.40 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.25 16.65 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 16.65 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.15 16.80 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 16.80 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.60 17.40 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 17.40 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.85 18.25 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 18.26 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.13 18.38 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 18.39 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.66 19.05 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 19.05 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.11 19.17 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 19.17 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.25 19.42 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 19.42 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.36 19.78 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.50 0.14 19.92 ^ housekeeping/_9666_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 19.92 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.92 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 6.92 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.21 7.13 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 7.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.35 7.48 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 7.48 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.40 7.88 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.20 0.00 7.88 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.77 0.78 8.66 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.77 0.00 8.66 ^ housekeeping/_9666_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 8.91 clock uncertainty + -1.05 7.87 clock reconvergence pessimism + 1.00 8.87 library removal time + 8.87 data required time +----------------------------------------------------------------------------- + 8.87 data required time + -19.92 data arrival time +----------------------------------------------------------------------------- + 11.06 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9665_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 1.92 14.42 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.99 16.40 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 16.40 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.25 16.65 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 16.65 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.15 16.80 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 16.80 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.60 17.40 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 17.40 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.85 18.25 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 18.26 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.13 18.38 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 18.39 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.66 19.05 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 19.05 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.11 19.17 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 19.17 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.25 19.42 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 19.42 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.36 19.78 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.51 0.14 19.92 ^ housekeeping/_9665_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 19.92 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.92 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 6.92 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.21 7.13 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 7.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.35 7.48 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 7.48 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.40 7.88 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.20 0.00 7.88 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.77 0.78 8.66 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.77 0.00 8.66 ^ housekeeping/_9665_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 8.91 clock uncertainty + -1.05 7.87 clock reconvergence pessimism + 1.00 8.87 library removal time + 8.87 data required time +----------------------------------------------------------------------------- + 8.87 data required time + -19.92 data arrival time +----------------------------------------------------------------------------- + 11.06 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9659_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 1.92 14.42 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.99 16.40 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 16.40 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.25 16.65 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 16.65 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.15 16.80 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 16.80 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.60 17.40 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 17.40 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.85 18.25 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 18.26 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.13 18.38 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 18.39 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.66 19.05 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 19.05 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.11 19.17 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 19.17 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.25 19.42 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 19.42 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.36 19.78 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.51 0.14 19.92 ^ housekeeping/_9659_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 19.92 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.92 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 6.92 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.21 7.13 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 7.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.35 7.48 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 7.48 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.40 7.88 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.20 0.00 7.88 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.77 0.78 8.66 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.77 0.00 8.66 ^ housekeeping/_9659_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 8.91 clock uncertainty + -1.05 7.87 clock reconvergence pessimism + 1.00 8.87 library removal time + 8.87 data required time +----------------------------------------------------------------------------- + 8.87 data required time + -19.92 data arrival time +----------------------------------------------------------------------------- + 11.06 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9680_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 1.92 14.42 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.99 16.40 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 16.40 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.25 16.65 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 16.65 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.15 16.80 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 16.80 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.60 17.40 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 17.40 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.85 18.25 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 18.26 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.13 18.38 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 18.39 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.66 19.05 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 19.05 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.11 19.17 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 19.17 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.25 19.42 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 19.42 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.36 19.78 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.44 0.07 19.85 ^ housekeeping/_9680_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 19.85 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.92 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 6.92 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.08 0.21 7.13 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.08 0.00 7.14 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.24 0.33 7.47 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.24 0.00 7.47 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.42 7.89 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.25 0.00 7.89 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.58 0.66 8.56 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.58 0.01 8.56 ^ housekeeping/_9680_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 8.81 clock uncertainty + -1.05 7.77 clock reconvergence pessimism + 0.92 8.69 library removal time + 8.69 data required time +----------------------------------------------------------------------------- + 8.69 data required time + -19.85 data arrival time +----------------------------------------------------------------------------- + 11.16 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9676_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 1.92 14.42 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.99 16.40 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 16.40 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.25 16.65 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 16.65 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.15 16.80 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 16.80 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.60 17.40 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 17.40 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.85 18.25 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 18.26 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.13 18.38 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 18.39 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.66 19.05 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 19.05 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.11 19.17 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 19.17 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.25 19.42 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 19.42 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.36 19.78 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.44 0.07 19.85 ^ housekeeping/_9676_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 19.85 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.92 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 6.92 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.08 0.21 7.13 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.08 0.00 7.14 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.24 0.33 7.47 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.24 0.00 7.47 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.42 7.89 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.25 0.00 7.89 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.58 0.66 8.56 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.58 0.01 8.56 ^ housekeeping/_9676_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 8.81 clock uncertainty + -1.05 7.77 clock reconvergence pessimism + 0.92 8.69 library removal time + 8.69 data required time +----------------------------------------------------------------------------- + 8.69 data required time + -19.85 data arrival time +----------------------------------------------------------------------------- + 11.16 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9681_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 1.92 14.42 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.99 16.40 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 16.40 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.25 16.65 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 16.65 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.15 16.80 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 16.80 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.60 17.40 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 17.40 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.85 18.25 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 18.26 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.13 18.38 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 18.39 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.66 19.05 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 19.05 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.11 19.17 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 19.17 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.25 19.42 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 19.42 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.36 19.78 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.44 0.08 19.86 ^ housekeeping/_9681_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 19.86 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.92 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 6.92 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.08 0.21 7.13 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.08 0.00 7.14 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.24 0.33 7.47 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.24 0.00 7.47 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.42 7.89 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.25 0.00 7.89 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.58 0.66 8.56 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.58 0.01 8.56 ^ housekeeping/_9681_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 8.81 clock uncertainty + -1.05 7.77 clock reconvergence pessimism + 0.92 8.69 library removal time + 8.69 data required time +----------------------------------------------------------------------------- + 8.69 data required time + -19.86 data arrival time +----------------------------------------------------------------------------- + 11.17 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9679_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 1.92 14.42 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.99 16.40 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 16.40 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.25 16.65 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 16.65 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.15 16.80 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 16.80 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.60 17.40 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 17.40 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.85 18.25 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 18.26 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.13 18.38 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 18.39 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.66 19.05 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 19.05 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.11 19.17 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 19.17 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.25 19.42 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 19.42 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.36 19.78 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.44 0.08 19.86 ^ housekeeping/_9679_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 19.86 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.92 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 6.92 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.08 0.21 7.13 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.08 0.00 7.14 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.24 0.33 7.47 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.24 0.00 7.47 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.42 7.89 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.25 0.00 7.89 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.58 0.66 8.56 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.58 0.01 8.56 ^ housekeeping/_9679_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 8.81 clock uncertainty + -1.05 7.77 clock reconvergence pessimism + 0.92 8.69 library removal time + 8.69 data required time +----------------------------------------------------------------------------- + 8.69 data required time + -19.86 data arrival time +----------------------------------------------------------------------------- + 11.17 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9677_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 1.92 14.42 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.99 16.40 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 16.40 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.25 16.65 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 16.65 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.15 16.80 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 16.80 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.60 17.40 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 17.40 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.85 18.25 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 18.26 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.13 18.38 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 18.39 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.66 19.05 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 19.05 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.11 19.17 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 19.17 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.25 19.42 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 19.42 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.36 19.78 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.44 0.08 19.86 ^ housekeeping/_9677_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 19.86 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.92 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 6.92 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.08 0.21 7.13 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.08 0.00 7.14 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.24 0.33 7.47 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.24 0.00 7.47 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.42 7.89 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.25 0.00 7.89 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.58 0.66 8.56 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.58 0.01 8.56 ^ housekeeping/_9677_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 8.81 clock uncertainty + -1.05 7.77 clock reconvergence pessimism + 0.92 8.69 library removal time + 8.69 data required time +----------------------------------------------------------------------------- + 8.69 data required time + -19.86 data arrival time +----------------------------------------------------------------------------- + 11.17 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9675_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 1.92 14.42 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.99 16.40 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 16.40 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.25 16.65 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 16.65 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.15 16.80 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 16.80 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.60 17.40 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 17.40 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.85 18.25 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 18.26 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.13 18.38 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 18.39 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.66 19.05 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 19.05 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.11 19.17 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 19.17 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.25 19.42 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 19.42 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.36 19.78 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.44 0.08 19.86 ^ housekeeping/_9675_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 19.86 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.92 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 6.92 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.08 0.21 7.13 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.08 0.00 7.14 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.24 0.33 7.47 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.24 0.00 7.47 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.42 7.89 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.25 0.00 7.89 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.58 0.66 8.56 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.58 0.01 8.56 ^ housekeeping/_9675_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 8.81 clock uncertainty + -1.05 7.77 clock reconvergence pessimism + 0.93 8.69 library removal time + 8.69 data required time +----------------------------------------------------------------------------- + 8.69 data required time + -19.86 data arrival time +----------------------------------------------------------------------------- + 11.17 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9678_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 1.92 14.42 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.99 16.40 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 16.40 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.25 16.65 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 16.65 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.15 16.80 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 16.80 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.60 17.40 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 17.40 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.85 18.25 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 18.26 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.13 18.38 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 18.39 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.66 19.05 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 19.05 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.11 19.17 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 19.17 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.25 19.42 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 19.42 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.36 19.78 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.45 0.08 19.87 ^ housekeeping/_9678_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 19.87 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.92 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 6.92 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.08 0.21 7.13 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.08 0.00 7.14 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.24 0.33 7.47 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.24 0.00 7.47 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.42 7.89 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.25 0.00 7.89 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.58 0.66 8.56 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.58 0.01 8.56 ^ housekeeping/_9678_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 8.81 clock uncertainty + -1.05 7.77 clock reconvergence pessimism + 0.93 8.69 library removal time + 8.69 data required time +----------------------------------------------------------------------------- + 8.69 data required time + -19.87 data arrival time +----------------------------------------------------------------------------- + 11.17 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9663_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 1.92 14.42 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.99 16.40 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 16.40 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.25 16.65 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 16.65 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.15 16.80 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 16.80 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.60 17.40 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 17.40 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.85 18.25 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 18.26 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.13 18.38 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 18.39 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.66 19.05 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 19.05 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.11 19.17 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 19.17 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.25 19.42 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 19.42 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.36 19.78 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.51 0.14 19.92 ^ housekeeping/_9663_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 19.92 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.92 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 6.92 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.21 7.13 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 7.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.35 7.48 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 7.48 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.40 7.88 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.20 0.00 7.88 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.62 0.67 8.55 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.07 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.62 0.01 8.56 ^ housekeeping/_9663_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 8.81 clock uncertainty + -1.05 7.76 clock reconvergence pessimism + 0.96 8.72 library removal time + 8.72 data required time +----------------------------------------------------------------------------- + 8.72 data required time + -19.92 data arrival time +----------------------------------------------------------------------------- + 11.21 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9664_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 1.92 14.42 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.99 16.40 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 16.40 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.25 16.65 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 16.65 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.15 16.80 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 16.80 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.60 17.40 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 17.40 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.85 18.25 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 18.26 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.13 18.38 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 18.39 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.66 19.05 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 19.05 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.11 19.17 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 19.17 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.25 19.42 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 19.42 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.36 19.78 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.51 0.14 19.92 ^ housekeeping/_9664_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 19.92 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.92 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 6.92 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.21 7.13 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 7.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.35 7.48 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 7.48 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.40 7.88 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.20 0.00 7.88 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.62 0.67 8.55 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.07 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.62 0.01 8.56 ^ housekeeping/_9664_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 8.81 clock uncertainty + -1.05 7.76 clock reconvergence pessimism + 0.96 8.72 library removal time + 8.72 data required time +----------------------------------------------------------------------------- + 8.72 data required time + -19.92 data arrival time +----------------------------------------------------------------------------- + 11.21 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9660_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 1.92 14.42 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.99 16.40 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 16.40 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.25 16.65 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 16.65 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.15 16.80 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 16.80 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.60 17.40 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 17.40 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.85 18.25 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 18.26 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.13 18.38 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 18.39 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.66 19.05 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 19.05 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.11 19.17 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 19.17 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.25 19.42 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 19.42 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.36 19.78 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.51 0.14 19.92 ^ housekeeping/_9660_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 19.92 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.92 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 6.92 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.21 7.13 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 7.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.35 7.48 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 7.48 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.40 7.88 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.20 0.00 7.88 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.62 0.67 8.55 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.07 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.62 0.01 8.56 ^ housekeeping/_9660_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 8.81 clock uncertainty + -1.05 7.76 clock reconvergence pessimism + 0.96 8.72 library removal time + 8.72 data required time +----------------------------------------------------------------------------- + 8.72 data required time + -19.92 data arrival time +----------------------------------------------------------------------------- + 11.21 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9661_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 1.92 14.42 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.99 16.40 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 16.40 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.25 16.65 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 16.65 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.15 16.80 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 16.80 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.60 17.40 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 17.40 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.85 18.25 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 18.26 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.13 18.38 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 18.39 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.66 19.05 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 19.05 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.11 19.17 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 19.17 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.25 19.42 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 19.42 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.36 19.78 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.51 0.14 19.92 ^ housekeeping/_9661_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 19.92 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.92 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 6.92 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.21 7.13 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 7.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.35 7.48 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 7.48 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.40 7.88 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.20 0.00 7.88 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.62 0.67 8.55 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.07 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.62 0.01 8.56 ^ housekeeping/_9661_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 8.81 clock uncertainty + -1.05 7.76 clock reconvergence pessimism + 0.96 8.72 library removal time + 8.72 data required time +----------------------------------------------------------------------------- + 8.72 data required time + -19.92 data arrival time +----------------------------------------------------------------------------- + 11.21 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9662_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 1.92 14.42 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.99 16.40 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 16.40 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.25 16.65 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 16.65 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.15 16.80 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 16.80 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.60 17.40 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 17.40 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.85 18.25 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 18.26 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.13 18.38 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 18.39 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.66 19.05 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 19.05 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.11 19.17 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 19.17 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.25 19.42 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 19.42 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.36 19.78 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.51 0.14 19.92 ^ housekeeping/_9662_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 19.92 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.92 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 6.92 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.21 7.13 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 7.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.35 7.48 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 7.48 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.40 7.88 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.20 0.00 7.88 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.62 0.67 8.55 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.07 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.62 0.01 8.56 ^ housekeeping/_9662_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 8.81 clock uncertainty + -1.05 7.76 clock reconvergence pessimism + 0.96 8.72 library removal time + 8.72 data required time +----------------------------------------------------------------------------- + 8.72 data required time + -19.92 data arrival time +----------------------------------------------------------------------------- + 11.21 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9065_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 1.92 14.42 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.99 16.40 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 16.40 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.25 16.65 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 16.65 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.15 16.80 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 16.80 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.60 17.40 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 17.40 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.85 18.25 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 18.26 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.13 18.38 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 18.39 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.66 19.05 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 19.05 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.11 19.17 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 19.17 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.25 19.42 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 19.42 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.36 19.78 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.50 0.13 19.92 ^ housekeeping/_9065_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 19.92 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.92 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 6.92 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.21 7.13 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 7.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.35 7.48 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 7.48 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.40 7.88 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.20 0.00 7.88 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.62 0.67 8.55 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.07 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.62 0.00 8.55 ^ housekeeping/_9065_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 8.80 clock uncertainty + -1.05 7.75 clock reconvergence pessimism + 0.95 8.70 library removal time + 8.70 data required time +----------------------------------------------------------------------------- + 8.70 data required time + -19.92 data arrival time +----------------------------------------------------------------------------- + 11.21 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9059_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 1.92 14.42 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.99 16.40 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 16.40 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.25 16.65 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 16.65 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.15 16.80 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 16.80 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.60 17.40 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 17.40 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.85 18.25 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 18.26 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.13 18.38 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 18.39 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.66 19.05 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 19.05 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.11 19.17 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 19.17 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.25 19.42 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 19.42 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.36 19.78 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.50 0.14 19.92 ^ housekeeping/_9059_/SET_B (sky130_fd_sc_hd__dfstp_1) + 19.92 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.92 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 6.92 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.21 7.13 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 7.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.35 7.48 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 7.48 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.40 7.88 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.20 0.00 7.88 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.77 0.78 8.66 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.77 0.00 8.66 ^ housekeeping/_9059_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.25 8.91 clock uncertainty + -1.05 7.86 clock reconvergence pessimism + 0.46 8.32 library removal time + 8.32 data required time +----------------------------------------------------------------------------- + 8.32 data required time + -19.92 data arrival time +----------------------------------------------------------------------------- + 11.60 slack (MET) + + +Startpoint: soc/core/_38793_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_38144_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 1.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 3.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 3.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 3.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 3.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 3.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 3.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 4.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 4.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 4.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 4.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 5.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 5.25 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 5.65 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 5.65 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 5.88 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 5.89 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 6.12 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 6.12 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.35 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 6.35 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.59 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 6.59 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.40 6.99 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 7.00 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.33 7.33 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 7.33 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.36 7.69 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 7.70 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.31 8.01 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.09 0.00 8.01 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.22 8.23 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.06 0.00 8.23 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.20 0.32 8.55 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.20 0.01 8.56 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.37 8.92 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.17 0.00 8.92 ^ soc/core/clkbuf_5_30_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.26 9.19 ^ soc/core/clkbuf_5_30_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_30_0_core_clk (net) + 0.07 0.00 9.19 ^ soc/core/clkbuf_5_30_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.23 1.01 10.20 ^ soc/core/clkbuf_5_30_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.27 soc/core/clknet_5_30_1_core_clk (net) + 1.23 0.03 10.23 ^ soc/core/clkbuf_leaf_171_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.61 10.84 ^ soc/core/clkbuf_leaf_171_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 10 0.04 soc/core/clknet_leaf_171_core_clk (net) + 0.12 0.00 10.85 ^ soc/core/_38793_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.05 0.63 11.48 v soc/core/_38793_/Q (sky130_fd_sc_hd__dfxtp_4) + 2 0.01 soc/core/mgmtsoc_master_rx_fifo_source_payload_data[2] (net) + 0.05 0.00 11.48 v soc/core/hold1910/A (sky130_fd_sc_hd__buf_4) + 0.18 0.34 11.81 v soc/core/hold1910/X (sky130_fd_sc_hd__buf_4) + 2 0.07 soc/core/net4759 (net) + 0.18 0.01 11.83 v soc/core/_22635_/B (sky130_fd_sc_hd__nand3_1) + 0.17 0.24 12.06 ^ soc/core/_22635_/Y (sky130_fd_sc_hd__nand3_1) + 1 0.01 soc/core/_11030_ (net) + 0.17 0.00 12.06 ^ soc/core/_22639_/A1 (sky130_fd_sc_hd__a31oi_4) + 0.10 0.28 12.34 v soc/core/_22639_/Y (sky130_fd_sc_hd__a31oi_4) + 2 0.03 soc/core/_05610_ (net) + 0.10 0.00 12.35 v soc/core/_38144_/D (sky130_fd_sc_hd__dfxtp_1) + 12.35 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.01 10.13 ^ soc/core/clkbuf_4_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.39 10.52 ^ soc/core/clkbuf_4_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_13_0_core_clk (net) + 0.16 0.00 10.52 ^ soc/core/clkbuf_5_26_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.28 10.80 ^ soc/core/clkbuf_5_26_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_26_0_core_clk (net) + 0.07 0.00 10.80 ^ soc/core/clkbuf_5_26_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.59 1.38 12.19 ^ soc/core/clkbuf_5_26_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.35 soc/core/clknet_5_26_1_core_clk (net) + 1.59 0.03 12.22 ^ soc/core/clkbuf_leaf_146_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.15 0.81 13.03 ^ soc/core/clkbuf_leaf_146_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.07 soc/core/clknet_leaf_146_core_clk (net) + 0.15 0.00 13.03 ^ soc/core/_38144_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 13.28 clock uncertainty + -1.48 11.81 clock reconvergence pessimism + -0.10 11.71 library hold time + 11.71 data required time +----------------------------------------------------------------------------- + 11.71 data required time + -12.35 data arrival time +----------------------------------------------------------------------------- + 0.64 slack (MET) + + +Startpoint: soc/core/_37941_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_38228_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 1.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 3.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 3.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 3.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 3.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 3.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 3.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 4.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 4.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 4.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 4.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 5.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 5.25 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 5.65 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 5.65 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 5.88 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 5.89 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 6.12 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 6.12 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.35 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 6.35 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.59 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 6.59 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.40 6.99 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 7.00 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.33 7.33 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 7.33 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.36 7.69 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 7.70 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.31 8.01 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.09 0.00 8.01 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.22 8.23 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.06 0.00 8.23 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.20 0.32 8.55 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.20 0.01 8.56 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.36 8.92 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.17 0.00 8.92 ^ soc/core/clkbuf_5_29_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.26 9.17 ^ soc/core/clkbuf_5_29_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_29_0_core_clk (net) + 0.06 0.00 9.17 ^ soc/core/clkbuf_5_29_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.10 0.93 10.10 ^ soc/core/clkbuf_5_29_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.24 soc/core/clknet_5_29_1_core_clk (net) + 1.11 0.02 10.13 ^ soc/core/clkbuf_leaf_216_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.16 0.62 10.75 ^ soc/core/clkbuf_leaf_216_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 32 0.08 soc/core/clknet_leaf_216_core_clk (net) + 0.16 0.00 10.75 ^ soc/core/_37941_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.19 0.79 11.54 v soc/core/_37941_/Q (sky130_fd_sc_hd__dfxtp_4) + 14 0.07 soc/core/uartwishbonebridge_rs232phyrx_state (net) + 0.19 0.00 11.54 v soc/core/hold1495/A (sky130_fd_sc_hd__buf_4) + 0.13 0.36 11.90 v soc/core/hold1495/X (sky130_fd_sc_hd__buf_4) + 4 0.05 soc/core/net4344 (net) + 0.13 0.01 11.92 v soc/core/_22375_/A2 (sky130_fd_sc_hd__a31oi_1) + 0.14 0.26 12.17 ^ soc/core/_22375_/Y (sky130_fd_sc_hd__a31oi_1) + 1 0.00 soc/core/_05694_ (net) + 0.14 0.00 12.17 ^ soc/core/_38228_/D (sky130_fd_sc_hd__dfxtp_1) + 12.17 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.40 9.17 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.24 0.00 9.17 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_0_core_clk (net) + 0.09 0.00 9.52 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.26 9.78 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_5_2_core_clk (net) + 0.19 0.01 10.14 ^ soc/core/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.39 10.52 ^ soc/core/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_10_0_core_clk (net) + 0.16 0.00 10.52 ^ soc/core/clkbuf_5_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.28 10.81 ^ soc/core/clkbuf_5_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_21_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.34 1.20 12.01 ^ soc/core/clkbuf_5_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 36 0.29 soc/core/clknet_5_21_1_core_clk (net) + 1.34 0.02 12.03 ^ soc/core/clkbuf_leaf_307_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.13 0.73 12.75 ^ soc/core/clkbuf_leaf_307_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 20 0.05 soc/core/clknet_leaf_307_core_clk (net) + 0.14 0.00 12.76 ^ soc/core/_38228_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 13.01 clock uncertainty + -1.41 11.60 clock reconvergence pessimism + -0.08 11.52 library hold time + 11.52 data required time +----------------------------------------------------------------------------- + 11.52 data required time + -12.17 data arrival time +----------------------------------------------------------------------------- + 0.65 slack (MET) + + +Startpoint: soc/core/_35257_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_37714_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 1.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 3.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 3.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 3.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 3.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 3.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 3.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 4.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 4.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 4.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 4.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 5.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 5.25 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 5.65 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 5.65 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 5.88 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.08 0.00 5.88 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.24 6.13 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.09 0.00 6.13 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.37 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.08 0.00 6.37 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.20 6.57 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.05 0.00 6.57 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.38 0.43 7.00 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.38 0.03 7.02 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.33 7.35 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_0_0_core_clk (net) + 0.05 0.00 7.35 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.71 0.65 8.00 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.15 soc/core/clknet_2_0_1_core_clk (net) + 0.71 0.03 8.03 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.45 8.48 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_0_core_clk (net) + 0.06 0.00 8.48 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 8.67 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_1_core_clk (net) + 0.05 0.00 8.67 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.26 8.94 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.02 soc/core/clknet_3_1_2_core_clk (net) + 0.13 0.00 8.94 ^ soc/core/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.31 9.25 ^ soc/core/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.02 soc/core/clknet_4_2_0_core_clk (net) + 0.13 0.00 9.25 ^ soc/core/clkbuf_5_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.24 9.48 ^ soc/core/clkbuf_5_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_4_0_core_clk (net) + 0.06 0.00 9.48 ^ soc/core/clkbuf_5_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.77 0.69 10.18 ^ soc/core/clkbuf_5_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 20 0.17 soc/core/clknet_5_4_1_core_clk (net) + 0.77 0.01 10.19 ^ soc/core/clkbuf_leaf_395_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.16 0.54 10.73 ^ soc/core/clkbuf_leaf_395_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 36 0.08 soc/core/clknet_leaf_395_core_clk (net) + 0.16 0.00 10.73 ^ soc/core/_35257_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.26 0.83 11.56 v soc/core/_35257_/Q (sky130_fd_sc_hd__dfxtp_4) + 16 0.11 soc/core/VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[3] (net) + 0.27 0.03 11.59 v soc/core/_24749_/C (sky130_fd_sc_hd__nand3b_2) + 0.16 0.28 11.87 ^ soc/core/_24749_/Y (sky130_fd_sc_hd__nand3b_2) + 2 0.02 soc/core/_12723_ (net) + 0.16 0.00 11.87 ^ soc/core/_24750_/B (sky130_fd_sc_hd__nor2_4) + 0.09 0.13 12.00 v soc/core/_24750_/Y (sky130_fd_sc_hd__nor2_4) + 4 0.03 soc/core/_12724_ (net) + 0.09 0.00 12.00 v soc/core/_24780_/C1 (sky130_fd_sc_hd__o2111ai_1) + 0.11 0.14 12.14 ^ soc/core/_24780_/Y (sky130_fd_sc_hd__o2111ai_1) + 1 0.00 soc/core/_12741_ (net) + 0.11 0.00 12.14 ^ soc/core/_24781_/B1 (sky130_fd_sc_hd__o21a_1) + 0.06 0.21 12.35 ^ soc/core/_24781_/X (sky130_fd_sc_hd__o21a_1) + 1 0.00 soc/core/_05180_ (net) + 0.06 0.00 12.35 ^ soc/core/_37714_/D (sky130_fd_sc_hd__dfxtp_1) + 12.35 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.40 9.17 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.24 0.00 9.17 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.34 9.51 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.09 0.00 9.52 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.24 9.76 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.06 0.00 9.76 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.23 0.37 10.13 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_3_4_2_core_clk (net) + 0.23 0.01 10.14 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.40 10.54 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_8_0_core_clk (net) + 0.15 0.00 10.54 ^ soc/core/clkbuf_5_16_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.28 10.82 ^ soc/core/clkbuf_5_16_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_16_0_core_clk (net) + 0.07 0.00 10.82 ^ soc/core/clkbuf_5_16_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.32 1.19 12.01 ^ soc/core/clkbuf_5_16_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 36 0.29 soc/core/clknet_5_16_1_core_clk (net) + 1.32 0.01 12.03 ^ soc/core/clkbuf_leaf_353_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.14 0.73 12.76 ^ soc/core/clkbuf_leaf_353_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 20 0.06 soc/core/clknet_leaf_353_core_clk (net) + 0.14 0.00 12.76 ^ soc/core/_37714_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 13.01 clock uncertainty + -1.26 11.75 clock reconvergence pessimism + -0.06 11.69 library hold time + 11.69 data required time +----------------------------------------------------------------------------- + 11.69 data required time + -12.35 data arrival time +----------------------------------------------------------------------------- + 0.66 slack (MET) + + +Startpoint: soc/core/_36464_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_38057_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 1.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 3.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 3.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 3.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 3.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 3.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 3.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 4.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 4.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 4.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 4.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 5.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 5.25 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 5.65 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 5.65 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 5.88 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 5.89 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 6.12 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 6.12 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.35 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 6.35 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.59 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 6.59 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.40 6.99 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 7.00 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.33 7.33 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 7.33 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.36 7.69 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 7.70 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.31 8.01 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.09 0.00 8.01 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.22 8.23 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.06 0.00 8.23 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.20 0.32 8.55 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.20 0.01 8.56 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.37 8.92 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.17 0.00 8.92 ^ soc/core/clkbuf_5_30_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.26 9.19 ^ soc/core/clkbuf_5_30_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_30_0_core_clk (net) + 0.07 0.00 9.19 ^ soc/core/clkbuf_5_30_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.23 1.01 10.20 ^ soc/core/clkbuf_5_30_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.27 soc/core/clknet_5_30_1_core_clk (net) + 1.23 0.04 10.23 ^ soc/core/clkbuf_leaf_201_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.16 0.66 10.89 ^ soc/core/clkbuf_leaf_201_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 34 0.08 soc/core/clknet_leaf_201_core_clk (net) + 0.16 0.00 10.89 ^ soc/core/_36464_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.22 0.80 11.69 v soc/core/_36464_/Q (sky130_fd_sc_hd__dfxtp_4) + 4 0.08 soc/core/memdat_3[6] (net) + 0.22 0.02 11.71 v soc/core/_23881_/C (sky130_fd_sc_hd__and4_4) + 0.14 0.47 12.18 v soc/core/_23881_/X (sky130_fd_sc_hd__and4_4) + 2 0.05 soc/core/_12189_ (net) + 0.14 0.01 12.19 v soc/core/_23882_/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.18 12.37 v soc/core/_23882_/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/_05523_ (net) + 0.05 0.00 12.37 v soc/core/_38057_/D (sky130_fd_sc_hd__dfxtp_1) + 12.37 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.27 7.44 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.09 0.00 7.44 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.71 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.08 0.00 7.71 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.22 7.93 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.05 0.00 7.93 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.38 0.47 8.40 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.38 0.03 8.43 ^ soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.37 8.80 ^ soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.06 0.00 8.80 ^ soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.38 9.18 ^ soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.24 0.00 9.18 ^ soc/core/clkbuf_3_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.53 ^ soc/core/clkbuf_3_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_3_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.79 ^ soc/core/clkbuf_3_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_3_1_core_clk (net) + 0.07 0.00 9.79 ^ soc/core/clkbuf_3_3_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.23 0.38 10.17 ^ soc/core/clkbuf_3_3_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_3_3_2_core_clk (net) + 0.24 0.01 10.18 ^ soc/core/clkbuf_4_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.43 10.61 ^ soc/core/clkbuf_4_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_4_6_0_core_clk (net) + 0.19 0.00 10.61 ^ soc/core/clkbuf_5_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.30 10.92 ^ soc/core/clkbuf_5_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_13_0_core_clk (net) + 0.07 0.00 10.92 ^ soc/core/clkbuf_5_13_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.25 1.11 12.03 ^ soc/core/clkbuf_5_13_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.27 soc/core/clknet_5_13_1_core_clk (net) + 1.25 0.05 12.08 ^ soc/core/clkbuf_leaf_92_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.13 0.70 12.78 ^ soc/core/clkbuf_leaf_92_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 16 0.05 soc/core/clknet_leaf_92_core_clk (net) + 0.13 0.00 12.78 ^ soc/core/_38057_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 13.03 clock uncertainty + -1.26 11.77 clock reconvergence pessimism + -0.08 11.68 library hold time + 11.68 data required time +----------------------------------------------------------------------------- + 11.68 data required time + -12.37 data arrival time +----------------------------------------------------------------------------- + 0.69 slack (MET) + + +Startpoint: soc/core/_39133_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_38050_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 1.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 3.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 3.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 3.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 3.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 3.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 3.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 4.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 4.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 4.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 4.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 5.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 5.25 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 5.65 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 5.65 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 5.88 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 5.89 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 6.12 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 6.12 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.35 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 6.35 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.59 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 6.59 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.40 6.99 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 7.00 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.33 7.33 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 7.33 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.36 7.69 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 7.70 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.31 8.01 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 8.01 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.23 8.24 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 8.24 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.32 8.56 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 8.56 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.36 8.91 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 8.92 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.26 9.18 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.07 0.00 9.18 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.30 1.06 10.24 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 1.30 0.01 10.25 ^ soc/core/clkbuf_leaf_127_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.14 0.66 10.91 ^ soc/core/clkbuf_leaf_127_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 20 0.06 soc/core/clknet_leaf_127_core_clk (net) + 0.14 0.00 10.91 ^ soc/core/_39133_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.17 0.68 11.59 v soc/core/_39133_/Q (sky130_fd_sc_hd__dfxtp_1) + 3 0.02 soc/core/gpioin0_enable_storage (net) + 0.17 0.00 11.59 v soc/core/_23910_/A2 (sky130_fd_sc_hd__a32oi_4) + 0.20 0.38 11.97 ^ soc/core/_23910_/Y (sky130_fd_sc_hd__a32oi_4) + 1 0.01 soc/core/_12211_ (net) + 0.20 0.00 11.97 ^ soc/core/_23913_/A2 (sky130_fd_sc_hd__a41oi_2) + 0.08 0.35 12.32 v soc/core/_23913_/Y (sky130_fd_sc_hd__a41oi_2) + 1 0.01 soc/core/_05516_ (net) + 0.08 0.00 12.32 v soc/core/_38050_/D (sky130_fd_sc_hd__dfxtp_1) + 12.32 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.01 10.13 ^ soc/core/clkbuf_4_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.39 10.52 ^ soc/core/clkbuf_4_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_13_0_core_clk (net) + 0.16 0.00 10.52 ^ soc/core/clkbuf_5_26_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.28 10.80 ^ soc/core/clkbuf_5_26_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_26_0_core_clk (net) + 0.07 0.00 10.80 ^ soc/core/clkbuf_5_26_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.59 1.38 12.19 ^ soc/core/clkbuf_5_26_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.35 soc/core/clknet_5_26_1_core_clk (net) + 1.59 0.01 12.19 ^ soc/core/clkbuf_leaf_136_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.16 0.82 13.01 ^ soc/core/clkbuf_leaf_136_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 28 0.07 soc/core/clknet_leaf_136_core_clk (net) + 0.16 0.00 13.02 ^ soc/core/_38050_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 13.27 clock uncertainty + -1.57 11.70 clock reconvergence pessimism + -0.09 11.61 library hold time + 11.61 data required time +----------------------------------------------------------------------------- + 11.61 data required time + -12.32 data arrival time +----------------------------------------------------------------------------- + 0.71 slack (MET) + + +Startpoint: soc/core/_38376_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_38192_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 1.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 3.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 3.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 3.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 3.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 3.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 3.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 4.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 4.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 4.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 4.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 5.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 5.25 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 5.65 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 5.65 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 5.88 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 5.89 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 6.12 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 6.12 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.35 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 6.35 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.59 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 6.59 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.40 6.99 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 7.00 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.33 7.33 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 7.33 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.36 7.69 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 7.70 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.31 8.01 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 8.01 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.23 8.24 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 8.24 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.32 8.56 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 8.56 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.36 8.91 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 8.92 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.26 9.18 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.07 0.00 9.18 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.30 1.06 10.24 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 1.30 0.03 10.28 ^ soc/core/clkbuf_leaf_126_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.15 0.66 10.94 ^ soc/core/clkbuf_leaf_126_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.07 soc/core/clknet_leaf_126_core_clk (net) + 0.15 0.00 10.94 ^ soc/core/_38376_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.11 0.67 11.61 v soc/core/_38376_/Q (sky130_fd_sc_hd__dfxtp_2) + 5 0.02 soc/core/mgmtsoc_bus_errors[18] (net) + 0.11 0.00 11.61 v soc/core/hold1504/A (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.18 0.37 11.98 v soc/core/hold1504/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 1 0.02 soc/core/net4353 (net) + 0.18 0.00 11.99 v soc/core/_22466_/B (sky130_fd_sc_hd__nand3_2) + 0.14 0.20 12.19 ^ soc/core/_22466_/Y (sky130_fd_sc_hd__nand3_2) + 1 0.01 soc/core/_10909_ (net) + 0.14 0.00 12.19 ^ soc/core/_22467_/A2 (sky130_fd_sc_hd__a21oi_1) + 0.08 0.16 12.35 v soc/core/_22467_/Y (sky130_fd_sc_hd__a21oi_1) + 1 0.00 soc/core/_05658_ (net) + 0.08 0.00 12.35 v soc/core/_38192_/D (sky130_fd_sc_hd__dfxtp_1) + 12.35 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.01 10.13 ^ soc/core/clkbuf_4_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.39 10.52 ^ soc/core/clkbuf_4_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_13_0_core_clk (net) + 0.16 0.00 10.52 ^ soc/core/clkbuf_5_26_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.28 10.80 ^ soc/core/clkbuf_5_26_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_26_0_core_clk (net) + 0.07 0.00 10.80 ^ soc/core/clkbuf_5_26_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.59 1.38 12.19 ^ soc/core/clkbuf_5_26_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.35 soc/core/clknet_5_26_1_core_clk (net) + 1.59 0.04 12.22 ^ soc/core/clkbuf_leaf_145_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.16 0.82 13.04 ^ soc/core/clkbuf_leaf_145_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_145_core_clk (net) + 0.16 0.00 13.05 ^ soc/core/_38192_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 13.30 clock uncertainty + -1.57 11.73 clock reconvergence pessimism + -0.09 11.64 library hold time + 11.64 data required time +----------------------------------------------------------------------------- + 11.64 data required time + -12.35 data arrival time +----------------------------------------------------------------------------- + 0.71 slack (MET) + + +Startpoint: soc/core/_36465_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_38058_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 1.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 3.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 3.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 3.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 3.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 3.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 3.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 4.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 4.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 4.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 4.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 5.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 5.25 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 5.65 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 5.65 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 5.88 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 5.89 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 6.12 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 6.12 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.35 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 6.35 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.59 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 6.59 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.40 6.99 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 7.00 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.33 7.33 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 7.33 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.36 7.69 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 7.70 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.31 8.01 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.09 0.00 8.01 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.22 8.23 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.06 0.00 8.23 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.20 0.32 8.55 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.20 0.01 8.56 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.37 8.92 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.17 0.00 8.92 ^ soc/core/clkbuf_5_30_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.26 9.19 ^ soc/core/clkbuf_5_30_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_30_0_core_clk (net) + 0.07 0.00 9.19 ^ soc/core/clkbuf_5_30_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.23 1.01 10.20 ^ soc/core/clkbuf_5_30_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.27 soc/core/clknet_5_30_1_core_clk (net) + 1.23 0.04 10.23 ^ soc/core/clkbuf_leaf_201_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.16 0.66 10.89 ^ soc/core/clkbuf_leaf_201_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 34 0.08 soc/core/clknet_leaf_201_core_clk (net) + 0.16 0.00 10.89 ^ soc/core/_36465_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.21 0.79 11.68 v soc/core/_36465_/Q (sky130_fd_sc_hd__dfxtp_4) + 4 0.08 soc/core/memdat_3[7] (net) + 0.22 0.02 11.70 v soc/core/_23879_/C (sky130_fd_sc_hd__and4_4) + 0.15 0.47 12.17 v soc/core/_23879_/X (sky130_fd_sc_hd__and4_4) + 2 0.05 soc/core/_12188_ (net) + 0.15 0.01 12.18 v soc/core/_23880_/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.19 12.37 v soc/core/_23880_/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/_05524_ (net) + 0.05 0.00 12.37 v soc/core/_38058_/D (sky130_fd_sc_hd__dfxtp_1) + 12.37 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.27 7.44 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.09 0.00 7.44 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.71 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.08 0.00 7.71 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.22 7.93 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.05 0.00 7.93 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.38 0.47 8.40 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.38 0.03 8.43 ^ soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.37 8.80 ^ soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.06 0.00 8.80 ^ soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.38 9.18 ^ soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.24 0.00 9.18 ^ soc/core/clkbuf_3_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.53 ^ soc/core/clkbuf_3_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_3_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.79 ^ soc/core/clkbuf_3_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_3_1_core_clk (net) + 0.07 0.00 9.79 ^ soc/core/clkbuf_3_3_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.23 0.38 10.17 ^ soc/core/clkbuf_3_3_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_3_3_2_core_clk (net) + 0.24 0.01 10.18 ^ soc/core/clkbuf_4_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.43 10.61 ^ soc/core/clkbuf_4_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_4_6_0_core_clk (net) + 0.19 0.00 10.61 ^ soc/core/clkbuf_5_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.30 10.92 ^ soc/core/clkbuf_5_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_13_0_core_clk (net) + 0.07 0.00 10.92 ^ soc/core/clkbuf_5_13_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.25 1.11 12.03 ^ soc/core/clkbuf_5_13_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.27 soc/core/clknet_5_13_1_core_clk (net) + 1.25 0.05 12.07 ^ soc/core/clkbuf_leaf_93_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.11 0.68 12.75 ^ soc/core/clkbuf_leaf_93_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 9 0.04 soc/core/clknet_leaf_93_core_clk (net) + 0.11 0.00 12.76 ^ soc/core/_38058_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 13.01 clock uncertainty + -1.26 11.74 clock reconvergence pessimism + -0.09 11.65 library hold time + 11.65 data required time +----------------------------------------------------------------------------- + 11.65 data required time + -12.37 data arrival time +----------------------------------------------------------------------------- + 0.71 slack (MET) + + +Startpoint: soc/core/_38794_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_38145_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 1.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 3.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 3.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 3.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 3.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 3.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 3.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 4.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 4.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 4.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 4.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 5.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 5.25 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 5.65 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 5.65 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 5.88 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 5.89 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 6.12 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 6.12 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.35 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 6.35 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.59 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 6.59 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.40 6.99 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 7.00 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.33 7.33 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 7.33 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.36 7.69 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 7.70 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.31 8.01 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.09 0.00 8.01 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.22 8.23 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.06 0.00 8.23 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.20 0.32 8.55 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.20 0.01 8.56 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.37 8.92 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.17 0.00 8.92 ^ soc/core/clkbuf_5_30_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.26 9.19 ^ soc/core/clkbuf_5_30_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_30_0_core_clk (net) + 0.07 0.00 9.19 ^ soc/core/clkbuf_5_30_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.23 1.01 10.20 ^ soc/core/clkbuf_5_30_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.27 soc/core/clknet_5_30_1_core_clk (net) + 1.23 0.03 10.23 ^ soc/core/clkbuf_leaf_171_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.61 10.84 ^ soc/core/clkbuf_leaf_171_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 10 0.04 soc/core/clknet_leaf_171_core_clk (net) + 0.12 0.00 10.85 ^ soc/core/_38794_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.05 0.63 11.48 v soc/core/_38794_/Q (sky130_fd_sc_hd__dfxtp_4) + 2 0.01 soc/core/mgmtsoc_master_rx_fifo_source_payload_data[3] (net) + 0.05 0.00 11.48 v soc/core/hold1871/A (sky130_fd_sc_hd__clkbuf_4) + 0.21 0.35 11.83 v soc/core/hold1871/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.06 soc/core/net4720 (net) + 0.21 0.01 11.84 v soc/core/_22630_/B (sky130_fd_sc_hd__nand3_1) + 0.17 0.25 12.10 ^ soc/core/_22630_/Y (sky130_fd_sc_hd__nand3_1) + 1 0.01 soc/core/_11026_ (net) + 0.17 0.00 12.10 ^ soc/core/_22634_/A1 (sky130_fd_sc_hd__a31oi_4) + 0.12 0.32 12.42 v soc/core/_22634_/Y (sky130_fd_sc_hd__a31oi_4) + 2 0.04 soc/core/_05611_ (net) + 0.12 0.01 12.42 v soc/core/_38145_/D (sky130_fd_sc_hd__dfxtp_1) + 12.42 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.01 10.13 ^ soc/core/clkbuf_4_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.39 10.52 ^ soc/core/clkbuf_4_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_13_0_core_clk (net) + 0.16 0.00 10.52 ^ soc/core/clkbuf_5_26_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.28 10.80 ^ soc/core/clkbuf_5_26_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_26_0_core_clk (net) + 0.07 0.00 10.80 ^ soc/core/clkbuf_5_26_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.59 1.38 12.19 ^ soc/core/clkbuf_5_26_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.35 soc/core/clknet_5_26_1_core_clk (net) + 1.59 0.03 12.22 ^ soc/core/clkbuf_leaf_146_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.15 0.81 13.03 ^ soc/core/clkbuf_leaf_146_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.07 soc/core/clknet_leaf_146_core_clk (net) + 0.15 0.00 13.03 ^ soc/core/_38145_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 13.28 clock uncertainty + -1.48 11.81 clock reconvergence pessimism + -0.10 11.70 library hold time + 11.70 data required time +----------------------------------------------------------------------------- + 11.70 data required time + -12.42 data arrival time +----------------------------------------------------------------------------- + 0.72 slack (MET) + + +Startpoint: soc/core/_36463_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_38056_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 1.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 3.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 3.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 3.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 3.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 3.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 3.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 4.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 4.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 4.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 4.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 5.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 5.25 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 5.65 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 5.65 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 5.88 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 5.89 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 6.12 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 6.12 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.35 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 6.35 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.59 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 6.59 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.40 6.99 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 7.00 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.33 7.33 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 7.33 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.36 7.69 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 7.70 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.31 8.01 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.09 0.00 8.01 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.22 8.23 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.06 0.00 8.23 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.20 0.32 8.55 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.20 0.01 8.56 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.37 8.92 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.17 0.00 8.92 ^ soc/core/clkbuf_5_30_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.26 9.19 ^ soc/core/clkbuf_5_30_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_30_0_core_clk (net) + 0.07 0.00 9.19 ^ soc/core/clkbuf_5_30_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.23 1.01 10.20 ^ soc/core/clkbuf_5_30_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.27 soc/core/clknet_5_30_1_core_clk (net) + 1.23 0.04 10.23 ^ soc/core/clkbuf_leaf_201_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.16 0.66 10.89 ^ soc/core/clkbuf_leaf_201_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 34 0.08 soc/core/clknet_leaf_201_core_clk (net) + 0.16 0.00 10.89 ^ soc/core/_36463_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.23 0.82 11.71 v soc/core/_36463_/Q (sky130_fd_sc_hd__dfxtp_4) + 4 0.10 soc/core/memdat_3[5] (net) + 0.23 0.01 11.72 v soc/core/_23883_/C (sky130_fd_sc_hd__and4_4) + 0.13 0.47 12.19 v soc/core/_23883_/X (sky130_fd_sc_hd__and4_4) + 2 0.05 soc/core/_12190_ (net) + 0.14 0.01 12.20 v soc/core/_23884_/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.17 12.38 v soc/core/_23884_/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/_05522_ (net) + 0.04 0.00 12.38 v soc/core/_38056_/D (sky130_fd_sc_hd__dfxtp_1) + 12.38 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.27 7.44 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.09 0.00 7.44 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.71 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.08 0.00 7.71 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.22 7.93 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.05 0.00 7.93 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.38 0.47 8.40 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.38 0.03 8.43 ^ soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.37 8.80 ^ soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.06 0.00 8.80 ^ soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.38 9.18 ^ soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.24 0.00 9.18 ^ soc/core/clkbuf_3_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.53 ^ soc/core/clkbuf_3_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_3_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.79 ^ soc/core/clkbuf_3_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_3_1_core_clk (net) + 0.07 0.00 9.79 ^ soc/core/clkbuf_3_3_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.23 0.38 10.17 ^ soc/core/clkbuf_3_3_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_3_3_2_core_clk (net) + 0.24 0.01 10.18 ^ soc/core/clkbuf_4_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.43 10.61 ^ soc/core/clkbuf_4_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_4_6_0_core_clk (net) + 0.19 0.00 10.61 ^ soc/core/clkbuf_5_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.30 10.92 ^ soc/core/clkbuf_5_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_13_0_core_clk (net) + 0.07 0.00 10.92 ^ soc/core/clkbuf_5_13_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.25 1.11 12.03 ^ soc/core/clkbuf_5_13_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.27 soc/core/clknet_5_13_1_core_clk (net) + 1.25 0.05 12.07 ^ soc/core/clkbuf_leaf_93_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.11 0.68 12.75 ^ soc/core/clkbuf_leaf_93_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 9 0.04 soc/core/clknet_leaf_93_core_clk (net) + 0.11 0.00 12.76 ^ soc/core/_38056_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 13.01 clock uncertainty + -1.26 11.74 clock reconvergence pessimism + -0.09 11.66 library hold time + 11.66 data required time +----------------------------------------------------------------------------- + 11.66 data required time + -12.38 data arrival time +----------------------------------------------------------------------------- + 0.72 slack (MET) + + +Startpoint: soc/core/_38300_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_38302_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 1.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 3.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 3.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 3.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 3.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 3.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 3.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 4.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 4.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 4.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 4.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 5.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 5.25 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 5.65 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 5.65 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 5.88 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 5.89 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 6.12 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 6.12 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.35 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 6.35 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.59 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 6.59 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.40 6.99 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 7.00 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.33 7.33 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 7.33 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.36 7.69 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 7.70 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.31 8.01 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.09 0.00 8.01 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.22 8.23 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.06 0.00 8.23 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.20 0.32 8.55 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.20 0.01 8.56 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.37 8.92 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.17 0.00 8.92 ^ soc/core/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.26 9.19 ^ soc/core/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_31_0_core_clk (net) + 0.07 0.00 9.19 ^ soc/core/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.44 1.15 10.34 ^ soc/core/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 38 0.32 soc/core/clknet_5_31_1_core_clk (net) + 1.44 0.03 10.36 ^ soc/core/clkbuf_leaf_187_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.11 0.66 11.02 ^ soc/core/clkbuf_leaf_187_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 9 0.03 soc/core/clknet_leaf_187_core_clk (net) + 0.11 0.00 11.02 ^ soc/core/_38300_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.12 0.62 11.64 v soc/core/_38300_/Q (sky130_fd_sc_hd__dfxtp_1) + 4 0.01 soc/core/uart_phy_tx_phase[29] (net) + 0.12 0.00 11.64 v soc/core/_22177_/A1 (sky130_fd_sc_hd__a41oi_1) + 0.19 0.26 11.90 ^ soc/core/_22177_/Y (sky130_fd_sc_hd__a41oi_1) + 1 0.00 soc/core/_10730_ (net) + 0.19 0.00 11.90 ^ soc/core/_22179_/B (sky130_fd_sc_hd__nor3_1) + 0.06 0.12 12.02 v soc/core/_22179_/Y (sky130_fd_sc_hd__nor3_1) + 1 0.00 soc/core/_05768_ (net) + 0.06 0.00 12.02 v soc/core/_38302_/D (sky130_fd_sc_hd__dfxtp_1) + 12.02 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.09 0.00 9.52 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.24 9.76 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.06 0.00 9.76 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.20 0.36 10.12 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.20 0.01 10.13 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.40 10.53 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.17 0.00 10.53 ^ soc/core/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.82 ^ soc/core/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_31_0_core_clk (net) + 0.07 0.00 10.82 ^ soc/core/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.44 1.27 12.09 ^ soc/core/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 38 0.32 soc/core/clknet_5_31_1_core_clk (net) + 1.44 0.02 12.12 ^ soc/core/clkbuf_leaf_188_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.14 0.76 12.88 ^ soc/core/clkbuf_leaf_188_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 22 0.06 soc/core/clknet_leaf_188_core_clk (net) + 0.14 0.00 12.88 ^ soc/core/_38302_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 13.13 clock uncertainty + -1.76 11.37 clock reconvergence pessimism + -0.08 11.29 library hold time + 11.29 data required time +----------------------------------------------------------------------------- + 11.29 data required time + -12.02 data arrival time +----------------------------------------------------------------------------- + 0.73 slack (MET) + + +Startpoint: soc/core/_35419_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_35478_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 1.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 3.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 3.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 3.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 3.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 3.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 3.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 4.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 4.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 4.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 4.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 5.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 5.25 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 5.65 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 5.65 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 5.88 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 5.89 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 6.12 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 6.12 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.35 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 6.35 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.59 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 6.59 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.40 6.99 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 7.00 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.33 7.33 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 7.33 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.36 7.69 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 7.70 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.31 8.01 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.09 0.00 8.01 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.22 8.23 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.06 0.00 8.23 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.20 0.32 8.55 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.20 0.01 8.56 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.36 8.92 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.17 0.00 8.92 ^ soc/core/clkbuf_5_29_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.26 9.17 ^ soc/core/clkbuf_5_29_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_29_0_core_clk (net) + 0.06 0.00 9.17 ^ soc/core/clkbuf_5_29_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.10 0.93 10.10 ^ soc/core/clkbuf_5_29_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.24 soc/core/clknet_5_29_1_core_clk (net) + 1.10 0.01 10.11 ^ soc/core/clkbuf_leaf_283_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.16 0.62 10.74 ^ soc/core/clkbuf_leaf_283_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 30 0.08 soc/core/clknet_leaf_283_core_clk (net) + 0.16 0.00 10.74 ^ soc/core/_35419_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.09 0.65 11.39 ^ soc/core/_35419_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.00 soc/core/VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][27] (net) + 0.09 0.00 11.39 ^ soc/core/_32821_/A0 (sky130_fd_sc_hd__mux2_1) + 0.08 0.23 11.62 ^ soc/core/_32821_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/VexRiscv.IBusCachedPlugin_cache.ways_0_tags$rdreg[0]$d[27] (net) + 0.08 0.00 11.62 ^ soc/core/_30172_/A1 (sky130_fd_sc_hd__mux2_1) + 0.07 0.22 11.85 ^ soc/core/_30172_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/_15886_ (net) + 0.07 0.00 11.85 ^ soc/core/_30173_/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.13 11.98 ^ soc/core/_30173_/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/_02944_ (net) + 0.06 0.00 11.98 ^ soc/core/_35478_/D (sky130_fd_sc_hd__dfxtp_1) + 11.98 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.40 9.17 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.24 0.00 9.17 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_0_core_clk (net) + 0.09 0.00 9.52 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.26 9.78 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_5_2_core_clk (net) + 0.19 0.01 10.14 ^ soc/core/clkbuf_4_11_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.18 0.40 10.54 ^ soc/core/clkbuf_4_11_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_11_0_core_clk (net) + 0.18 0.00 10.54 ^ soc/core/clkbuf_5_23_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.84 ^ soc/core/clkbuf_5_23_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_23_0_core_clk (net) + 0.07 0.00 10.84 ^ soc/core/clkbuf_5_23_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.03 0.97 11.81 ^ soc/core/clkbuf_5_23_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.23 soc/core/clknet_5_23_1_core_clk (net) + 1.03 0.01 11.82 ^ soc/core/clkbuf_leaf_282_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.13 0.64 12.46 ^ soc/core/clkbuf_leaf_282_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 22 0.06 soc/core/clknet_leaf_282_core_clk (net) + 0.13 0.00 12.47 ^ soc/core/_35478_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 12.72 clock uncertainty + -1.41 11.31 clock reconvergence pessimism + -0.06 11.25 library hold time + 11.25 data required time +----------------------------------------------------------------------------- + 11.25 data required time + -11.98 data arrival time +----------------------------------------------------------------------------- + 0.73 slack (MET) + + +Startpoint: soc/core/_38300_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_38301_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 1.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 3.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 3.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 3.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 3.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 3.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 3.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 4.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 4.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 4.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 4.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 5.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 5.25 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 5.65 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 5.65 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 5.88 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 5.89 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 6.12 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 6.12 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.35 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 6.35 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.59 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 6.59 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.40 6.99 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 7.00 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.33 7.33 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 7.33 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.36 7.69 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 7.70 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.31 8.01 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.09 0.00 8.01 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.22 8.23 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.06 0.00 8.23 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.20 0.32 8.55 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.20 0.01 8.56 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.37 8.92 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.17 0.00 8.92 ^ soc/core/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.26 9.19 ^ soc/core/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_31_0_core_clk (net) + 0.07 0.00 9.19 ^ soc/core/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.44 1.15 10.34 ^ soc/core/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 38 0.32 soc/core/clknet_5_31_1_core_clk (net) + 1.44 0.03 10.36 ^ soc/core/clkbuf_leaf_187_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.11 0.66 11.02 ^ soc/core/clkbuf_leaf_187_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 9 0.03 soc/core/clknet_leaf_187_core_clk (net) + 0.11 0.00 11.02 ^ soc/core/_38300_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.12 0.62 11.64 v soc/core/_38300_/Q (sky130_fd_sc_hd__dfxtp_1) + 4 0.01 soc/core/uart_phy_tx_phase[29] (net) + 0.12 0.00 11.64 v soc/core/_22180_/A2 (sky130_fd_sc_hd__a31oi_1) + 0.15 0.26 11.90 ^ soc/core/_22180_/Y (sky130_fd_sc_hd__a31oi_1) + 1 0.00 soc/core/_10732_ (net) + 0.15 0.00 11.90 ^ soc/core/_22181_/B (sky130_fd_sc_hd__nor3_1) + 0.07 0.12 12.02 v soc/core/_22181_/Y (sky130_fd_sc_hd__nor3_1) + 1 0.00 soc/core/_05767_ (net) + 0.07 0.00 12.02 v soc/core/_38301_/D (sky130_fd_sc_hd__dfxtp_1) + 12.02 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.09 0.00 9.52 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.24 9.76 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.06 0.00 9.76 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.20 0.36 10.12 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.20 0.01 10.13 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.40 10.53 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.17 0.00 10.53 ^ soc/core/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.82 ^ soc/core/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_31_0_core_clk (net) + 0.07 0.00 10.82 ^ soc/core/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.44 1.27 12.09 ^ soc/core/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 38 0.32 soc/core/clknet_5_31_1_core_clk (net) + 1.44 0.02 12.12 ^ soc/core/clkbuf_leaf_188_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.14 0.76 12.88 ^ soc/core/clkbuf_leaf_188_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 22 0.06 soc/core/clknet_leaf_188_core_clk (net) + 0.14 0.00 12.88 ^ soc/core/_38301_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 13.13 clock uncertainty + -1.76 11.37 clock reconvergence pessimism + -0.09 11.29 library hold time + 11.29 data required time +----------------------------------------------------------------------------- + 11.29 data required time + -12.02 data arrival time +----------------------------------------------------------------------------- + 0.74 slack (MET) + + +Startpoint: soc/core/_35204_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_39462_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 1.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 3.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 3.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 3.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 3.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 3.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 3.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 4.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 4.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 4.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 4.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 5.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 5.25 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 5.65 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 5.65 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 5.88 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 5.89 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 6.12 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 6.12 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.35 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 6.35 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.59 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 6.59 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.40 6.99 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 7.00 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.33 7.33 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 7.33 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.36 7.69 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 7.70 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.31 8.01 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.09 0.00 8.01 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.22 8.23 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.06 0.00 8.23 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.20 0.32 8.55 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.20 0.01 8.56 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.36 8.92 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.17 0.00 8.92 ^ soc/core/clkbuf_5_29_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.26 9.17 ^ soc/core/clkbuf_5_29_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_29_0_core_clk (net) + 0.06 0.00 9.17 ^ soc/core/clkbuf_5_29_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.10 0.93 10.10 ^ soc/core/clkbuf_5_29_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.24 soc/core/clknet_5_29_1_core_clk (net) + 1.11 0.03 10.13 ^ soc/core/clkbuf_leaf_210_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.15 0.61 10.75 ^ soc/core/clkbuf_leaf_210_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_210_core_clk (net) + 0.15 0.00 10.75 ^ soc/core/_35204_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.10 0.66 11.41 v soc/core/_35204_/Q (sky130_fd_sc_hd__dfxtp_2) + 4 0.02 soc/core/multiregimpl0_regs1 (net) + 0.10 0.00 11.41 v soc/core/hold1311/A (sky130_fd_sc_hd__buf_2) + 0.13 0.32 11.73 v soc/core/hold1311/X (sky130_fd_sc_hd__buf_2) + 4 0.03 soc/core/net4160 (net) + 0.13 0.00 11.73 v soc/core/_17238_/A (sky130_fd_sc_hd__nand3_4) + 0.13 0.17 11.90 ^ soc/core/_17238_/Y (sky130_fd_sc_hd__nand3_4) + 2 0.02 soc/core/_07242_ (net) + 0.13 0.00 11.90 ^ soc/core/_17422_/B1_N (sky130_fd_sc_hd__a21bo_1) + 0.05 0.35 12.25 v soc/core/_17422_/X (sky130_fd_sc_hd__a21bo_1) + 1 0.00 soc/core/_06928_ (net) + 0.05 0.00 12.25 v soc/core/_39462_/D (sky130_fd_sc_hd__dfxtp_1) + 12.25 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.09 0.00 9.52 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.24 9.76 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.06 0.00 9.76 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.20 0.36 10.12 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.20 0.01 10.13 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.40 10.53 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.17 0.00 10.53 ^ soc/core/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.82 ^ soc/core/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_31_0_core_clk (net) + 0.07 0.00 10.82 ^ soc/core/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.44 1.27 12.09 ^ soc/core/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 38 0.32 soc/core/clknet_5_31_1_core_clk (net) + 1.45 0.05 12.15 ^ soc/core/clkbuf_leaf_192_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.15 0.77 12.92 ^ soc/core/clkbuf_leaf_192_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.06 soc/core/clknet_leaf_192_core_clk (net) + 0.15 0.00 12.92 ^ soc/core/_39462_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 13.17 clock uncertainty + -1.57 11.60 clock reconvergence pessimism + -0.08 11.52 library hold time + 11.52 data required time +----------------------------------------------------------------------------- + 11.52 data required time + -12.25 data arrival time +----------------------------------------------------------------------------- + 0.74 slack (MET) + + +Startpoint: soc/core/_36460_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_38053_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 1.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 3.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 3.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 3.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 3.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 3.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 3.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 4.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 4.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 4.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 4.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 5.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 5.25 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 5.65 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 5.65 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 5.88 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 5.89 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 6.12 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 6.12 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.35 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 6.35 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.59 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 6.59 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.40 6.99 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 7.00 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.33 7.33 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 7.33 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.36 7.69 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 7.70 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.31 8.01 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.09 0.00 8.01 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.22 8.23 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.06 0.00 8.23 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.20 0.32 8.55 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.20 0.01 8.56 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.37 8.92 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.17 0.00 8.92 ^ soc/core/clkbuf_5_30_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.26 9.19 ^ soc/core/clkbuf_5_30_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_30_0_core_clk (net) + 0.07 0.00 9.19 ^ soc/core/clkbuf_5_30_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.23 1.01 10.20 ^ soc/core/clkbuf_5_30_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.27 soc/core/clknet_5_30_1_core_clk (net) + 1.23 0.02 10.22 ^ soc/core/clkbuf_leaf_200_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.16 0.65 10.87 ^ soc/core/clkbuf_leaf_200_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 28 0.08 soc/core/clknet_leaf_200_core_clk (net) + 0.16 0.00 10.88 ^ soc/core/_36460_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.23 0.82 11.70 v soc/core/_36460_/Q (sky130_fd_sc_hd__dfxtp_4) + 4 0.09 soc/core/memdat_3[2] (net) + 0.23 0.01 11.71 v soc/core/_23889_/C (sky130_fd_sc_hd__and4_1) + 0.14 0.52 12.22 v soc/core/_23889_/X (sky130_fd_sc_hd__and4_1) + 1 0.01 soc/core/_12193_ (net) + 0.14 0.00 12.22 v soc/core/_23890_/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.18 12.41 v soc/core/_23890_/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/_05519_ (net) + 0.05 0.00 12.41 v soc/core/_38053_/D (sky130_fd_sc_hd__dfxtp_1) + 12.41 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.01 10.13 ^ soc/core/clkbuf_4_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.39 10.52 ^ soc/core/clkbuf_4_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_13_0_core_clk (net) + 0.16 0.00 10.52 ^ soc/core/clkbuf_5_26_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.28 10.80 ^ soc/core/clkbuf_5_26_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_26_0_core_clk (net) + 0.07 0.00 10.80 ^ soc/core/clkbuf_5_26_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.59 1.38 12.19 ^ soc/core/clkbuf_5_26_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.35 soc/core/clknet_5_26_1_core_clk (net) + 1.59 0.04 12.22 ^ soc/core/clkbuf_leaf_141_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.11 0.76 12.98 ^ soc/core/clkbuf_leaf_141_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 8 0.03 soc/core/clknet_leaf_141_core_clk (net) + 0.11 0.00 12.98 ^ soc/core/_38053_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 13.23 clock uncertainty + -1.48 11.75 clock reconvergence pessimism + -0.09 11.67 library hold time + 11.67 data required time +----------------------------------------------------------------------------- + 11.67 data required time + -12.41 data arrival time +----------------------------------------------------------------------------- + 0.74 slack (MET) + + +Startpoint: soc/core/_36719_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_38807_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 1.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 3.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 3.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 3.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 3.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 3.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 3.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 4.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 4.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 4.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 4.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 5.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 5.25 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 5.65 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 5.65 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 5.88 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 5.89 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 6.12 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 6.12 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.35 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 6.35 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.59 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 6.59 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.40 6.99 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 7.00 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.33 7.33 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 7.33 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.36 7.69 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 7.70 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.31 8.01 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.09 0.00 8.01 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.22 8.23 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.06 0.00 8.23 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.20 0.32 8.55 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.20 0.01 8.56 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.37 8.92 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.17 0.00 8.92 ^ soc/core/clkbuf_5_30_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.26 9.19 ^ soc/core/clkbuf_5_30_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_30_0_core_clk (net) + 0.07 0.00 9.19 ^ soc/core/clkbuf_5_30_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.23 1.01 10.20 ^ soc/core/clkbuf_5_30_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.27 soc/core/clknet_5_30_1_core_clk (net) + 1.23 0.04 10.23 ^ soc/core/clkbuf_leaf_201_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.16 0.66 10.89 ^ soc/core/clkbuf_leaf_201_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 34 0.08 soc/core/clknet_leaf_201_core_clk (net) + 0.16 0.00 10.89 ^ soc/core/_36719_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.13 0.73 11.62 v soc/core/_36719_/Q (sky130_fd_sc_hd__dfxtp_4) + 10 0.04 soc/core/mgmtsoc_litespisdrphycore_sr_in[16] (net) + 0.13 0.00 11.62 v soc/core/hold1462/A (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.17 0.38 12.00 v soc/core/hold1462/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 1 0.02 soc/core/net4311 (net) + 0.17 0.00 12.00 v soc/core/_20468_/B (sky130_fd_sc_hd__nand3_1) + 0.10 0.17 12.18 ^ soc/core/_20468_/Y (sky130_fd_sc_hd__nand3_1) + 1 0.00 soc/core/_09613_ (net) + 0.10 0.00 12.18 ^ soc/core/_20470_/A1 (sky130_fd_sc_hd__a21oi_1) + 0.08 0.12 12.29 v soc/core/_20470_/Y (sky130_fd_sc_hd__a21oi_1) + 1 0.00 soc/core/_06273_ (net) + 0.08 0.00 12.29 v soc/core/_38807_/D (sky130_fd_sc_hd__dfxtp_1) + 12.29 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.01 10.13 ^ soc/core/clkbuf_4_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.39 10.52 ^ soc/core/clkbuf_4_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_13_0_core_clk (net) + 0.16 0.00 10.52 ^ soc/core/clkbuf_5_27_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.28 10.80 ^ soc/core/clkbuf_5_27_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_27_0_core_clk (net) + 0.07 0.00 10.80 ^ soc/core/clkbuf_5_27_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.42 1.26 12.06 ^ soc/core/clkbuf_5_27_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 36 0.31 soc/core/clknet_5_27_1_core_clk (net) + 1.42 0.02 12.09 ^ soc/core/clkbuf_leaf_134_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.16 0.78 12.87 ^ soc/core/clkbuf_leaf_134_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 30 0.08 soc/core/clknet_leaf_134_core_clk (net) + 0.16 0.00 12.87 ^ soc/core/_38807_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 13.12 clock uncertainty + -1.48 11.64 clock reconvergence pessimism + -0.09 11.55 library hold time + 11.55 data required time +----------------------------------------------------------------------------- + 11.55 data required time + -12.29 data arrival time +----------------------------------------------------------------------------- + 0.74 slack (MET) + + +Startpoint: soc/core/_35415_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_35474_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 1.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 3.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 3.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 3.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 3.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 3.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 3.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 4.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 4.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 4.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 4.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 5.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 5.25 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 5.65 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 5.65 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 5.88 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 5.89 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 6.12 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 6.12 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.35 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 6.35 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.59 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 6.59 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.40 6.99 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 7.00 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.33 7.33 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 7.33 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.36 7.69 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 7.70 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.31 8.01 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.09 0.00 8.01 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.22 8.23 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.06 0.00 8.23 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.20 0.32 8.55 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.20 0.01 8.56 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.36 8.92 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.17 0.00 8.92 ^ soc/core/clkbuf_5_29_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.26 9.17 ^ soc/core/clkbuf_5_29_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_29_0_core_clk (net) + 0.06 0.00 9.17 ^ soc/core/clkbuf_5_29_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.10 0.93 10.10 ^ soc/core/clkbuf_5_29_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.24 soc/core/clknet_5_29_1_core_clk (net) + 1.11 0.03 10.13 ^ soc/core/clkbuf_leaf_285_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.16 0.62 10.75 ^ soc/core/clkbuf_leaf_285_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 30 0.08 soc/core/clknet_leaf_285_core_clk (net) + 0.16 0.00 10.76 ^ soc/core/_35415_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.09 0.65 11.41 ^ soc/core/_35415_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.00 soc/core/VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][23] (net) + 0.09 0.00 11.41 ^ soc/core/_32817_/A0 (sky130_fd_sc_hd__mux2_1) + 0.08 0.23 11.64 ^ soc/core/_32817_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/VexRiscv.IBusCachedPlugin_cache.ways_0_tags$rdreg[0]$d[23] (net) + 0.08 0.00 11.64 ^ soc/core/_30180_/A1 (sky130_fd_sc_hd__mux2_1) + 0.08 0.23 11.87 ^ soc/core/_30180_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/_15890_ (net) + 0.08 0.00 11.87 ^ soc/core/_30181_/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.13 12.00 ^ soc/core/_30181_/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/_02940_ (net) + 0.06 0.00 12.00 ^ soc/core/_35474_/D (sky130_fd_sc_hd__dfxtp_1) + 12.00 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.40 9.17 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.24 0.00 9.17 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_0_core_clk (net) + 0.09 0.00 9.52 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.26 9.78 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_5_2_core_clk (net) + 0.19 0.01 10.14 ^ soc/core/clkbuf_4_11_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.18 0.40 10.54 ^ soc/core/clkbuf_4_11_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_11_0_core_clk (net) + 0.18 0.00 10.54 ^ soc/core/clkbuf_5_23_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.84 ^ soc/core/clkbuf_5_23_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_23_0_core_clk (net) + 0.07 0.00 10.84 ^ soc/core/clkbuf_5_23_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.03 0.97 11.81 ^ soc/core/clkbuf_5_23_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.23 soc/core/clknet_5_23_1_core_clk (net) + 1.03 0.04 11.84 ^ soc/core/clkbuf_leaf_286_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.11 0.63 12.47 ^ soc/core/clkbuf_leaf_286_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 16 0.04 soc/core/clknet_leaf_286_core_clk (net) + 0.11 0.00 12.48 ^ soc/core/_35474_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 12.73 clock uncertainty + -1.41 11.32 clock reconvergence pessimism + -0.06 11.26 library hold time + 11.26 data required time +----------------------------------------------------------------------------- + 11.26 data required time + -12.00 data arrival time +----------------------------------------------------------------------------- + 0.74 slack (MET) + + +Startpoint: soc/core/_37966_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_37966_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 1.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 3.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 3.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 3.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 3.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 3.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 3.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 4.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 4.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 4.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 4.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 5.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 5.25 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 5.65 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 5.65 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 5.88 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 5.89 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 6.12 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 6.12 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.35 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 6.35 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.59 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 6.59 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.40 6.99 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 7.00 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.33 7.33 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.08 0.00 7.33 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.36 7.69 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.24 0.00 7.69 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.31 8.01 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_0_core_clk (net) + 0.09 0.00 8.01 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.23 8.24 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_1_core_clk (net) + 0.07 0.00 8.24 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.32 8.56 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_5_2_core_clk (net) + 0.19 0.01 8.56 ^ soc/core/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.35 8.91 ^ soc/core/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_10_0_core_clk (net) + 0.16 0.00 8.92 ^ soc/core/clkbuf_5_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.26 9.17 ^ soc/core/clkbuf_5_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_21_0_core_clk (net) + 0.07 0.00 9.17 ^ soc/core/clkbuf_5_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.34 1.08 10.26 ^ soc/core/clkbuf_5_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 36 0.29 soc/core/clknet_5_21_1_core_clk (net) + 1.34 0.02 10.28 ^ soc/core/clkbuf_leaf_307_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.13 0.66 10.93 ^ soc/core/clkbuf_leaf_307_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 20 0.05 soc/core/clknet_leaf_307_core_clk (net) + 0.14 0.00 10.94 ^ soc/core/_37966_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.10 0.62 11.55 v soc/core/_37966_/Q (sky130_fd_sc_hd__dfxtp_1) + 3 0.01 soc/core/dbg_uart_rx_phase[23] (net) + 0.10 0.00 11.55 v soc/core/_24129_/A1 (sky130_fd_sc_hd__o21bai_1) + 0.09 0.23 11.78 ^ soc/core/_24129_/Y (sky130_fd_sc_hd__o21bai_1) + 1 0.00 soc/core/_12342_ (net) + 0.09 0.00 11.78 ^ soc/core/_24130_/B1 (sky130_fd_sc_hd__a21oi_1) + 0.04 0.07 11.85 v soc/core/_24130_/Y (sky130_fd_sc_hd__a21oi_1) + 1 0.00 soc/core/_05432_ (net) + 0.04 0.00 11.85 v soc/core/_37966_/D (sky130_fd_sc_hd__dfxtp_1) + 11.85 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.40 9.17 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.24 0.00 9.17 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_0_core_clk (net) + 0.09 0.00 9.52 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.26 9.78 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_5_2_core_clk (net) + 0.19 0.01 10.14 ^ soc/core/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.39 10.52 ^ soc/core/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_10_0_core_clk (net) + 0.16 0.00 10.52 ^ soc/core/clkbuf_5_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.28 10.81 ^ soc/core/clkbuf_5_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_21_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.34 1.20 12.01 ^ soc/core/clkbuf_5_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 36 0.29 soc/core/clknet_5_21_1_core_clk (net) + 1.34 0.02 12.03 ^ soc/core/clkbuf_leaf_307_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.13 0.73 12.75 ^ soc/core/clkbuf_leaf_307_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 20 0.05 soc/core/clknet_leaf_307_core_clk (net) + 0.14 0.00 12.76 ^ soc/core/_37966_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 13.01 clock uncertainty + -1.82 11.19 clock reconvergence pessimism + -0.08 11.11 library hold time + 11.11 data required time +----------------------------------------------------------------------------- + 11.11 data required time + -11.85 data arrival time +----------------------------------------------------------------------------- + 0.75 slack (MET) + + +Startpoint: soc/core/_38256_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_38257_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 1.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 3.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 3.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 3.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 3.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 3.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 3.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 4.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 4.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 4.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 4.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 5.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 5.25 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 5.65 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 5.65 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 5.88 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 5.89 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 6.12 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 6.12 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.35 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 6.35 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.59 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 6.59 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.40 6.99 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 7.00 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.33 7.33 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 7.33 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.36 7.69 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 7.70 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.31 8.01 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.09 0.00 8.01 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.22 8.23 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.06 0.00 8.23 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.20 0.32 8.55 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.20 0.01 8.56 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.37 8.92 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.17 0.00 8.92 ^ soc/core/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.26 9.19 ^ soc/core/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_31_0_core_clk (net) + 0.07 0.00 9.19 ^ soc/core/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.44 1.15 10.34 ^ soc/core/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 38 0.32 soc/core/clknet_5_31_1_core_clk (net) + 1.45 0.05 10.38 ^ soc/core/clkbuf_leaf_190_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.15 0.70 11.08 ^ soc/core/clkbuf_leaf_190_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.06 soc/core/clknet_leaf_190_core_clk (net) + 0.15 0.00 11.08 ^ soc/core/_38256_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.11 0.64 11.72 v soc/core/_38256_/Q (sky130_fd_sc_hd__dfxtp_1) + 3 0.01 soc/core/uart_phy_rx_phase[12] (net) + 0.11 0.00 11.72 v soc/core/_22291_/B1 (sky130_fd_sc_hd__o211ai_1) + 0.17 0.19 11.91 ^ soc/core/_22291_/Y (sky130_fd_sc_hd__o211ai_1) + 1 0.01 soc/core/_10799_ (net) + 0.17 0.00 11.91 ^ soc/core/_22292_/A2 (sky130_fd_sc_hd__a21oi_1) + 0.07 0.15 12.06 v soc/core/_22292_/Y (sky130_fd_sc_hd__a21oi_1) + 1 0.00 soc/core/_05723_ (net) + 0.07 0.00 12.06 v soc/core/_38257_/D (sky130_fd_sc_hd__dfxtp_1) + 12.06 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.09 0.00 9.52 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.24 9.76 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.06 0.00 9.76 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.20 0.36 10.12 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.20 0.01 10.13 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.40 10.53 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.17 0.00 10.53 ^ soc/core/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.82 ^ soc/core/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_31_0_core_clk (net) + 0.07 0.00 10.82 ^ soc/core/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.44 1.27 12.09 ^ soc/core/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 38 0.32 soc/core/clknet_5_31_1_core_clk (net) + 1.45 0.04 12.13 ^ soc/core/clkbuf_leaf_189_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.15 0.77 12.90 ^ soc/core/clkbuf_leaf_189_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.06 soc/core/clknet_leaf_189_core_clk (net) + 0.15 0.00 12.91 ^ soc/core/_38257_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 13.16 clock uncertainty + -1.76 11.40 clock reconvergence pessimism + -0.09 11.31 library hold time + 11.31 data required time +----------------------------------------------------------------------------- + 11.31 data required time + -12.06 data arrival time +----------------------------------------------------------------------------- + 0.75 slack (MET) + + +Startpoint: soc/core/_37941_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_37943_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 1.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 3.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 3.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 3.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 3.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 3.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 3.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 4.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 4.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 4.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 4.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 5.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 5.25 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 5.65 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 5.65 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 5.88 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 5.89 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 6.12 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 6.12 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.35 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 6.35 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.59 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 6.59 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.40 6.99 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 7.00 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.33 7.33 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 7.33 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.36 7.69 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 7.70 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.31 8.01 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.09 0.00 8.01 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.22 8.23 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.06 0.00 8.23 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.20 0.32 8.55 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.20 0.01 8.56 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.36 8.92 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.17 0.00 8.92 ^ soc/core/clkbuf_5_29_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.26 9.17 ^ soc/core/clkbuf_5_29_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_29_0_core_clk (net) + 0.06 0.00 9.17 ^ soc/core/clkbuf_5_29_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.10 0.93 10.10 ^ soc/core/clkbuf_5_29_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.24 soc/core/clknet_5_29_1_core_clk (net) + 1.11 0.02 10.13 ^ soc/core/clkbuf_leaf_216_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.16 0.62 10.75 ^ soc/core/clkbuf_leaf_216_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 32 0.08 soc/core/clknet_leaf_216_core_clk (net) + 0.16 0.00 10.75 ^ soc/core/_37941_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.19 0.79 11.54 v soc/core/_37941_/Q (sky130_fd_sc_hd__dfxtp_4) + 14 0.07 soc/core/uartwishbonebridge_rs232phyrx_state (net) + 0.19 0.00 11.54 v soc/core/hold1495/A (sky130_fd_sc_hd__buf_4) + 0.13 0.36 11.90 v soc/core/hold1495/X (sky130_fd_sc_hd__buf_4) + 4 0.05 soc/core/net4344 (net) + 0.13 0.01 11.92 v soc/core/_24189_/C_N (sky130_fd_sc_hd__nor3b_1) + 0.07 0.29 12.20 v soc/core/_24189_/Y (sky130_fd_sc_hd__nor3b_1) + 1 0.00 soc/core/_05409_ (net) + 0.07 0.00 12.20 v soc/core/_37943_/D (sky130_fd_sc_hd__dfxtp_1) + 12.20 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.40 9.17 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.24 0.00 9.17 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_0_core_clk (net) + 0.09 0.00 9.52 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.26 9.78 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_5_2_core_clk (net) + 0.19 0.01 10.14 ^ soc/core/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.39 10.52 ^ soc/core/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_10_0_core_clk (net) + 0.16 0.00 10.52 ^ soc/core/clkbuf_5_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.28 10.81 ^ soc/core/clkbuf_5_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_21_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.34 1.20 12.01 ^ soc/core/clkbuf_5_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 36 0.29 soc/core/clknet_5_21_1_core_clk (net) + 1.34 0.02 12.02 ^ soc/core/clkbuf_leaf_306_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.10 0.68 12.70 ^ soc/core/clkbuf_leaf_306_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 7 0.02 soc/core/clknet_leaf_306_core_clk (net) + 0.10 0.00 12.70 ^ soc/core/_37943_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 12.95 clock uncertainty + -1.41 11.55 clock reconvergence pessimism + -0.10 11.45 library hold time + 11.45 data required time +----------------------------------------------------------------------------- + 11.45 data required time + -12.20 data arrival time +----------------------------------------------------------------------------- + 0.75 slack (MET) + + +Startpoint: soc/core/_37332_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_37332_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 1.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 3.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 3.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 3.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 3.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 3.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 3.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 4.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 4.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 4.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 4.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 5.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 5.25 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 5.65 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 5.65 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 5.88 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 5.89 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 6.12 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 6.12 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.35 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 6.35 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.59 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 6.59 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.40 6.99 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 7.00 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.33 7.33 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.08 0.00 7.33 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.36 7.69 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.24 0.00 7.69 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.31 8.00 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.09 0.00 8.00 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.22 8.22 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.06 0.00 8.22 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.23 0.34 8.56 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_3_4_2_core_clk (net) + 0.23 0.01 8.57 ^ soc/core/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.37 8.94 ^ soc/core/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_9_0_core_clk (net) + 0.17 0.00 8.94 ^ soc/core/clkbuf_5_18_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.26 9.21 ^ soc/core/clkbuf_5_18_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_18_0_core_clk (net) + 0.07 0.00 9.21 ^ soc/core/clkbuf_5_18_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.22 0.97 10.18 ^ soc/core/clkbuf_5_18_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.27 soc/core/clknet_5_18_1_core_clk (net) + 1.22 0.08 10.26 ^ soc/core/clkbuf_leaf_373_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.15 0.64 10.90 ^ soc/core/clkbuf_leaf_373_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.07 soc/core/clknet_leaf_373_core_clk (net) + 0.15 0.00 10.91 ^ soc/core/_37332_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.13 0.65 11.56 v soc/core/_37332_/Q (sky130_fd_sc_hd__dfxtp_1) + 4 0.01 soc/core/VexRiscv.execute_to_memory_ALIGNEMENT_FAULT (net) + 0.13 0.00 11.56 v soc/core/_25897_/B (sky130_fd_sc_hd__nand3_1) + 0.08 0.14 11.70 ^ soc/core/_25897_/Y (sky130_fd_sc_hd__nand3_1) + 1 0.00 soc/core/_13474_ (net) + 0.08 0.00 11.70 ^ soc/core/_25898_/B1 (sky130_fd_sc_hd__o21ai_1) + 0.09 0.12 11.82 v soc/core/_25898_/Y (sky130_fd_sc_hd__o21ai_1) + 1 0.00 soc/core/_04798_ (net) + 0.09 0.00 11.82 v soc/core/_37332_/D (sky130_fd_sc_hd__dfxtp_1) + 11.82 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.40 9.17 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.24 0.00 9.17 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.34 9.51 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.09 0.00 9.52 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.24 9.76 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.06 0.00 9.76 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.23 0.37 10.13 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_3_4_2_core_clk (net) + 0.23 0.01 10.14 ^ soc/core/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.41 10.55 ^ soc/core/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_9_0_core_clk (net) + 0.17 0.00 10.56 ^ soc/core/clkbuf_5_18_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.85 ^ soc/core/clkbuf_5_18_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_18_0_core_clk (net) + 0.07 0.00 10.85 ^ soc/core/clkbuf_5_18_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.22 1.08 11.92 ^ soc/core/clkbuf_5_18_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.27 soc/core/clknet_5_18_1_core_clk (net) + 1.22 0.09 12.01 ^ soc/core/clkbuf_leaf_373_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.15 0.71 12.72 ^ soc/core/clkbuf_leaf_373_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.07 soc/core/clknet_leaf_373_core_clk (net) + 0.15 0.01 12.73 ^ soc/core/_37332_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 12.98 clock uncertainty + -1.82 11.16 clock reconvergence pessimism + -0.09 11.07 library hold time + 11.07 data required time +----------------------------------------------------------------------------- + 11.07 data required time + -11.82 data arrival time +----------------------------------------------------------------------------- + 0.76 slack (MET) + + +Startpoint: soc/core/_34832_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_37233_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 1.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 3.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 3.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 3.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 3.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 3.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 3.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 4.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 4.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 4.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 4.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 5.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 5.25 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 5.65 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 5.65 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 5.88 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 5.89 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 6.12 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 6.12 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.35 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 6.35 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.59 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 6.59 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.40 6.99 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 7.00 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.33 7.33 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.08 0.00 7.33 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.36 7.69 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.24 0.00 7.69 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.31 8.00 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.09 0.00 8.00 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.22 8.22 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.06 0.00 8.22 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.23 0.34 8.56 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_3_4_2_core_clk (net) + 0.23 0.01 8.57 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.36 8.93 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_8_0_core_clk (net) + 0.15 0.00 8.93 ^ soc/core/clkbuf_5_16_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.19 ^ soc/core/clkbuf_5_16_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_16_0_core_clk (net) + 0.07 0.00 9.19 ^ soc/core/clkbuf_5_16_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.32 1.08 10.26 ^ soc/core/clkbuf_5_16_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 36 0.29 soc/core/clknet_5_16_1_core_clk (net) + 1.32 0.02 10.29 ^ soc/core/clkbuf_leaf_358_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.14 0.66 10.95 ^ soc/core/clkbuf_leaf_358_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 22 0.06 soc/core/clknet_leaf_358_core_clk (net) + 0.14 0.00 10.95 ^ soc/core/_34832_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.08 0.63 11.58 ^ soc/core/_34832_/Q (sky130_fd_sc_hd__dfxtp_1) + 1 0.00 soc/core/VexRiscv._zz_RegFilePlugin_regFile_port0[0] (net) + 0.08 0.00 11.58 ^ soc/core/_26120_/A1 (sky130_fd_sc_hd__mux2_1) + 0.09 0.24 11.82 ^ soc/core/_26120_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/_13597_ (net) + 0.09 0.00 11.82 ^ soc/core/_26121_/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.14 11.95 ^ soc/core/_26121_/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/_04699_ (net) + 0.06 0.00 11.95 ^ soc/core/_37233_/D (sky130_fd_sc_hd__dfxtp_1) + 11.95 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.40 9.17 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.24 0.00 9.17 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.34 9.51 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.09 0.00 9.52 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.24 9.76 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.06 0.00 9.76 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.23 0.37 10.13 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_3_4_2_core_clk (net) + 0.23 0.01 10.14 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.40 10.54 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_8_0_core_clk (net) + 0.15 0.00 10.54 ^ soc/core/clkbuf_5_16_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.28 10.82 ^ soc/core/clkbuf_5_16_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_16_0_core_clk (net) + 0.07 0.00 10.82 ^ soc/core/clkbuf_5_16_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.32 1.19 12.01 ^ soc/core/clkbuf_5_16_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 36 0.29 soc/core/clknet_5_16_1_core_clk (net) + 1.32 0.03 12.04 ^ soc/core/clkbuf_leaf_359_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.71 12.76 ^ soc/core/clkbuf_leaf_359_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 16 0.05 soc/core/clknet_leaf_359_core_clk (net) + 0.12 0.00 12.76 ^ soc/core/_37233_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 13.01 clock uncertainty + -1.75 11.26 clock reconvergence pessimism + -0.06 11.20 library hold time + 11.20 data required time +----------------------------------------------------------------------------- + 11.20 data required time + -11.95 data arrival time +----------------------------------------------------------------------------- + 0.76 slack (MET) + + +Startpoint: soc/core/_36721_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_36723_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 1.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 3.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 3.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 3.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 3.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 3.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 3.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 4.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 4.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 4.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 4.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 5.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 5.25 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 5.65 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 5.65 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 5.88 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 5.89 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 6.12 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 6.12 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.35 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 6.35 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.59 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 6.59 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.40 6.99 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 7.00 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.33 7.33 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 7.33 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.36 7.69 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 7.70 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.31 8.01 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.09 0.00 8.01 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.22 8.23 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.06 0.00 8.23 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.20 0.32 8.55 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.20 0.01 8.56 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.36 8.92 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.17 0.00 8.92 ^ soc/core/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.26 9.18 ^ soc/core/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_28_0_core_clk (net) + 0.07 0.00 9.18 ^ soc/core/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.17 0.98 10.16 ^ soc/core/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.26 soc/core/clknet_5_28_1_core_clk (net) + 1.17 0.02 10.19 ^ soc/core/clkbuf_leaf_232_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.61 10.80 ^ soc/core/clkbuf_leaf_232_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 16 0.05 soc/core/clknet_leaf_232_core_clk (net) + 0.12 0.00 10.80 ^ soc/core/_36721_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.24 0.77 11.57 ^ soc/core/_36721_/Q (sky130_fd_sc_hd__dfxtp_2) + 5 0.03 soc/core/mgmtsoc_litespisdrphycore_sr_in[18] (net) + 0.24 0.00 11.57 ^ soc/core/_33731_/A0 (sky130_fd_sc_hd__mux2_1) + 0.07 0.27 11.84 ^ soc/core/_33731_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/_02782_ (net) + 0.07 0.00 11.84 ^ soc/core/_27215_/A1 (sky130_fd_sc_hd__mux2_1) + 0.08 0.22 12.06 ^ soc/core/_27215_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/_14181_ (net) + 0.08 0.00 12.06 ^ soc/core/_27216_/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.14 12.20 ^ soc/core/_27216_/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/_04189_ (net) + 0.06 0.00 12.20 ^ soc/core/_36723_/D (sky130_fd_sc_hd__dfxtp_1) + 12.20 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.27 1.13 11.94 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.28 soc/core/clknet_5_25_1_core_clk (net) + 1.27 0.06 12.00 ^ soc/core/clkbuf_leaf_233_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.15 0.73 12.73 ^ soc/core/clkbuf_leaf_233_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 22 0.07 soc/core/clknet_leaf_233_core_clk (net) + 0.15 0.00 12.73 ^ soc/core/_36723_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 12.98 clock uncertainty + -1.48 11.50 clock reconvergence pessimism + -0.06 11.44 library hold time + 11.44 data required time +----------------------------------------------------------------------------- + 11.44 data required time + -12.20 data arrival time +----------------------------------------------------------------------------- + 0.76 slack (MET) + + +Startpoint: soc/core/_39116_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_39116_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 1.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 3.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 3.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 3.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 3.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 3.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 3.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 4.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 4.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 4.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 4.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 5.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 5.25 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 5.65 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 5.65 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 5.88 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 5.89 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 6.12 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 6.12 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.35 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 6.35 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.59 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 6.59 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.40 6.99 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 7.00 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.33 7.33 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 7.33 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.36 7.69 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 7.70 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.31 8.01 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.09 0.00 8.01 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.22 8.23 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.06 0.00 8.23 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.20 0.32 8.55 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.20 0.01 8.56 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.36 8.92 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.17 0.00 8.92 ^ soc/core/clkbuf_5_29_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.26 9.17 ^ soc/core/clkbuf_5_29_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_29_0_core_clk (net) + 0.06 0.00 9.17 ^ soc/core/clkbuf_5_29_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.10 0.93 10.10 ^ soc/core/clkbuf_5_29_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.24 soc/core/clknet_5_29_1_core_clk (net) + 1.11 0.03 10.13 ^ soc/core/clkbuf_leaf_210_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.15 0.61 10.75 ^ soc/core/clkbuf_leaf_210_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_210_core_clk (net) + 0.15 0.00 10.75 ^ soc/core/_39116_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.10 0.63 11.38 v soc/core/_39116_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.01 soc/core/count[14] (net) + 0.10 0.00 11.38 v soc/core/_19493_/A (sky130_fd_sc_hd__inv_2) + 0.08 0.11 11.48 ^ soc/core/_19493_/Y (sky130_fd_sc_hd__inv_2) + 3 0.01 soc/core/_08995_ (net) + 0.08 0.00 11.48 ^ soc/core/_19501_/B (sky130_fd_sc_hd__nand2_1) + 0.06 0.10 11.58 v soc/core/_19501_/Y (sky130_fd_sc_hd__nand2_1) + 1 0.00 soc/core/_09002_ (net) + 0.06 0.00 11.58 v soc/core/_19502_/C1 (sky130_fd_sc_hd__o211ai_1) + 0.11 0.11 11.69 ^ soc/core/_19502_/Y (sky130_fd_sc_hd__o211ai_1) + 1 0.00 soc/core/_06582_ (net) + 0.11 0.00 11.69 ^ soc/core/_39116_/D (sky130_fd_sc_hd__dfxtp_1) + 11.69 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.09 0.00 9.52 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.24 9.76 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.06 0.00 9.76 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.20 0.36 10.12 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.20 0.01 10.13 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.40 10.53 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.17 0.00 10.53 ^ soc/core/clkbuf_5_29_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.28 10.81 ^ soc/core/clkbuf_5_29_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_29_0_core_clk (net) + 0.06 0.00 10.81 ^ soc/core/clkbuf_5_29_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.10 1.03 11.84 ^ soc/core/clkbuf_5_29_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.24 soc/core/clknet_5_29_1_core_clk (net) + 1.11 0.03 11.87 ^ soc/core/clkbuf_leaf_210_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.15 0.68 12.55 ^ soc/core/clkbuf_leaf_210_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_210_core_clk (net) + 0.15 0.00 12.55 ^ soc/core/_39116_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 12.80 clock uncertainty + -1.80 11.00 clock reconvergence pessimism + -0.07 10.93 library hold time + 10.93 data required time +----------------------------------------------------------------------------- + 10.93 data required time + -11.69 data arrival time +----------------------------------------------------------------------------- + 0.76 slack (MET) + + +Startpoint: housekeeping/_9014_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9014_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 1.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 3.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 3.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 3.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 3.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 3.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 3.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 4.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 4.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 4.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 4.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 5.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 5.25 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 5.65 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 5.66 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.19 5.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 5.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.32 6.16 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 6.16 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.36 6.52 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.20 0.00 6.52 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.34 0.42 6.94 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net) + 0.34 0.00 6.94 ^ housekeeping/_9014_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.11 0.74 7.69 ^ housekeeping/_9014_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.01 housekeeping/net328 (net) + 0.11 0.00 7.69 ^ housekeeping/_6982_/B1 (sky130_fd_sc_hd__o22a_1) + 0.06 0.24 7.93 ^ housekeeping/_6982_/X (sky130_fd_sc_hd__o22a_1) + 1 0.00 housekeeping/_0264_ (net) + 0.06 0.00 7.93 ^ housekeeping/_9014_/D (sky130_fd_sc_hd__dfxtp_1) + 7.93 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.92 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 6.92 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.21 7.13 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 7.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.35 7.48 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 7.48 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.39 7.87 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.20 0.00 7.88 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.34 0.47 8.34 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net) + 0.34 0.00 8.34 ^ housekeeping/_9014_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 8.59 clock uncertainty + -1.40 7.19 clock reconvergence pessimism + -0.03 7.17 library hold time + 7.17 data required time +----------------------------------------------------------------------------- + 7.17 data required time + -7.93 data arrival time +----------------------------------------------------------------------------- + 0.76 slack (MET) + + +Startpoint: soc/core/_38268_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_38268_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 1.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 3.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 3.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 3.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 3.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 3.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 3.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 4.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 4.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 4.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 4.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 5.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 5.25 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 5.65 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 5.65 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 5.88 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 5.89 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 6.12 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 6.12 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.35 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 6.35 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.59 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 6.59 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.40 6.99 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 7.00 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.33 7.33 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 7.33 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.36 7.69 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 7.70 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.31 8.01 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.09 0.00 8.01 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.22 8.23 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.06 0.00 8.23 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.20 0.32 8.55 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.20 0.01 8.56 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.37 8.92 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.17 0.00 8.92 ^ soc/core/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.26 9.19 ^ soc/core/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_31_0_core_clk (net) + 0.07 0.00 9.19 ^ soc/core/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.44 1.15 10.34 ^ soc/core/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 38 0.32 soc/core/clknet_5_31_1_core_clk (net) + 1.45 0.04 10.37 ^ soc/core/clkbuf_leaf_189_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.15 0.70 11.07 ^ soc/core/clkbuf_leaf_189_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.06 soc/core/clknet_leaf_189_core_clk (net) + 0.15 0.00 11.07 ^ soc/core/_38268_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.09 0.61 11.69 v soc/core/_38268_/Q (sky130_fd_sc_hd__dfxtp_1) + 3 0.01 soc/core/uart_phy_rx_phase[24] (net) + 0.09 0.00 11.69 v soc/core/_22261_/B1 (sky130_fd_sc_hd__a31oi_1) + 0.15 0.21 11.90 ^ soc/core/_22261_/Y (sky130_fd_sc_hd__a31oi_1) + 1 0.00 soc/core/_10780_ (net) + 0.15 0.00 11.90 ^ soc/core/_22263_/B (sky130_fd_sc_hd__nor3_1) + 0.05 0.11 12.01 v soc/core/_22263_/Y (sky130_fd_sc_hd__nor3_1) + 1 0.00 soc/core/_05734_ (net) + 0.05 0.00 12.01 v soc/core/_38268_/D (sky130_fd_sc_hd__dfxtp_1) + 12.01 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.09 0.00 9.52 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.24 9.76 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.06 0.00 9.76 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.20 0.36 10.12 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.20 0.01 10.13 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.40 10.53 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.17 0.00 10.53 ^ soc/core/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.82 ^ soc/core/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_31_0_core_clk (net) + 0.07 0.00 10.82 ^ soc/core/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.44 1.27 12.09 ^ soc/core/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 38 0.32 soc/core/clknet_5_31_1_core_clk (net) + 1.45 0.04 12.13 ^ soc/core/clkbuf_leaf_189_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.15 0.77 12.90 ^ soc/core/clkbuf_leaf_189_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.06 soc/core/clknet_leaf_189_core_clk (net) + 0.15 0.00 12.91 ^ soc/core/_38268_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 13.16 clock uncertainty + -1.83 11.32 clock reconvergence pessimism + -0.08 11.25 library hold time + 11.25 data required time +----------------------------------------------------------------------------- + 11.25 data required time + -12.01 data arrival time +----------------------------------------------------------------------------- + 0.76 slack (MET) + + +Startpoint: soc/core/_37568_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_36378_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 1.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 3.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 3.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 3.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 3.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 3.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 3.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 4.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 4.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 4.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 4.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 5.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 5.25 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 5.65 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 5.65 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 5.88 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.08 0.00 5.88 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.24 6.13 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.09 0.00 6.13 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.37 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.08 0.00 6.37 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.20 6.57 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.05 0.00 6.57 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.38 0.43 7.00 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.38 0.03 7.02 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.33 7.35 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_0_0_core_clk (net) + 0.05 0.00 7.35 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.71 0.65 8.00 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.15 soc/core/clknet_2_0_1_core_clk (net) + 0.71 0.03 8.03 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.45 8.48 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_0_core_clk (net) + 0.06 0.00 8.48 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 8.67 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_1_core_clk (net) + 0.05 0.00 8.67 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.26 8.94 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.02 soc/core/clknet_3_1_2_core_clk (net) + 0.13 0.00 8.94 ^ soc/core/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.32 9.26 ^ soc/core/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_3_0_core_clk (net) + 0.15 0.00 9.26 ^ soc/core/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.51 ^ soc/core/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_7_0_core_clk (net) + 0.07 0.00 9.51 ^ soc/core/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.81 0.73 10.25 ^ soc/core/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 22 0.18 soc/core/clknet_5_7_1_core_clk (net) + 0.81 0.00 10.25 ^ soc/core/clkbuf_leaf_383_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.16 0.55 10.80 ^ soc/core/clkbuf_leaf_383_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 32 0.08 soc/core/clknet_leaf_383_core_clk (net) + 0.16 0.00 10.80 ^ soc/core/_37568_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.14 0.72 11.52 ^ soc/core/_37568_/Q (sky130_fd_sc_hd__dfxtp_2) + 4 0.02 soc/core/VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[0] (net) + 0.14 0.00 11.52 ^ soc/core/_33651_/A0 (sky130_fd_sc_hd__mux2_2) + 0.23 0.43 11.95 ^ soc/core/_33651_/X (sky130_fd_sc_hd__mux2_2) + 2 0.03 soc/core/_01775_ (net) + 0.23 0.00 11.95 ^ soc/core/_33652_/A1 (sky130_fd_sc_hd__mux2_1) + 0.08 0.28 12.24 ^ soc/core/_33652_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/_00033_ (net) + 0.08 0.00 12.24 ^ soc/core/_28173_/A1 (sky130_fd_sc_hd__a21o_1) + 0.05 0.18 12.42 ^ soc/core/_28173_/X (sky130_fd_sc_hd__a21o_1) + 1 0.00 soc/core/_03844_ (net) + 0.05 0.00 12.42 ^ soc/core/_36378_/D (sky130_fd_sc_hd__dfxtp_1) + 12.42 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.40 9.17 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.24 0.00 9.17 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.34 9.51 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.09 0.00 9.52 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.24 9.76 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.06 0.00 9.76 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.23 0.37 10.13 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_3_4_2_core_clk (net) + 0.23 0.01 10.14 ^ soc/core/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.41 10.55 ^ soc/core/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_9_0_core_clk (net) + 0.17 0.00 10.56 ^ soc/core/clkbuf_5_18_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.85 ^ soc/core/clkbuf_5_18_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_18_0_core_clk (net) + 0.07 0.00 10.85 ^ soc/core/clkbuf_5_18_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.22 1.08 11.92 ^ soc/core/clkbuf_5_18_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.27 soc/core/clknet_5_18_1_core_clk (net) + 1.22 0.09 12.01 ^ soc/core/clkbuf_leaf_373_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.15 0.71 12.72 ^ soc/core/clkbuf_leaf_373_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.07 soc/core/clknet_leaf_373_core_clk (net) + 0.15 0.00 12.72 ^ soc/core/_36378_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 12.97 clock uncertainty + -1.26 11.71 clock reconvergence pessimism + -0.05 11.66 library hold time + 11.66 data required time +----------------------------------------------------------------------------- + 11.66 data required time + -12.42 data arrival time +----------------------------------------------------------------------------- + 0.76 slack (MET) + + +Startpoint: housekeeping/_9025_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9025_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 1.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 3.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 3.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 3.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 3.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 3.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 3.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 4.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 4.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 4.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 4.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 5.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 5.25 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 5.65 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 5.66 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.19 5.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 5.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.32 6.16 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 6.16 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.36 6.52 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.20 0.00 6.52 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.34 0.42 6.94 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net) + 0.34 0.00 6.94 ^ housekeeping/_9025_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.12 0.74 7.69 ^ housekeeping/_9025_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.01 housekeeping/net354 (net) + 0.12 0.00 7.69 ^ housekeeping/_6967_/B1 (sky130_fd_sc_hd__o22a_1) + 0.06 0.24 7.93 ^ housekeeping/_6967_/X (sky130_fd_sc_hd__o22a_1) + 1 0.00 housekeeping/_0275_ (net) + 0.06 0.00 7.93 ^ housekeeping/_9025_/D (sky130_fd_sc_hd__dfxtp_1) + 7.93 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.92 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 6.92 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.21 7.13 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 7.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.35 7.48 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 7.48 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.39 7.87 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.20 0.00 7.88 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.34 0.47 8.34 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net) + 0.34 0.00 8.34 ^ housekeeping/_9025_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 8.59 clock uncertainty + -1.40 7.19 clock reconvergence pessimism + -0.03 7.17 library hold time + 7.17 data required time +----------------------------------------------------------------------------- + 7.17 data required time + -7.93 data arrival time +----------------------------------------------------------------------------- + 0.77 slack (MET) + + +Startpoint: soc/core/_34817_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_37282_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 1.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 3.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 3.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 3.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 3.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 3.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 3.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 4.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 4.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 4.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 4.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 5.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 5.25 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 5.65 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 5.65 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 5.88 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 5.89 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 6.12 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 6.12 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.35 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 6.35 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.59 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 6.59 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.40 6.99 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 7.00 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.33 7.33 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.08 0.00 7.33 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.36 7.69 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.24 0.00 7.69 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.31 8.00 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.09 0.00 8.00 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.22 8.22 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.06 0.00 8.22 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.23 0.34 8.56 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_3_4_2_core_clk (net) + 0.23 0.01 8.57 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.36 8.93 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_8_0_core_clk (net) + 0.15 0.00 8.93 ^ soc/core/clkbuf_5_17_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.19 ^ soc/core/clkbuf_5_17_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_17_0_core_clk (net) + 0.07 0.00 9.19 ^ soc/core/clkbuf_5_17_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.31 1.07 10.25 ^ soc/core/clkbuf_5_17_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_17_1_core_clk (net) + 1.31 0.03 10.28 ^ soc/core/clkbuf_leaf_333_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.15 0.67 10.95 ^ soc/core/clkbuf_leaf_333_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_333_core_clk (net) + 0.15 0.00 10.95 ^ soc/core/_34817_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.09 0.65 11.60 ^ soc/core/_34817_/Q (sky130_fd_sc_hd__dfxtp_1) + 1 0.00 soc/core/VexRiscv._zz_RegFilePlugin_regFile_port1[17] (net) + 0.09 0.00 11.60 ^ soc/core/_26015_/A1 (sky130_fd_sc_hd__mux2_1) + 0.07 0.22 11.83 ^ soc/core/_26015_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/_13541_ (net) + 0.07 0.00 11.83 ^ soc/core/_26016_/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.13 11.96 ^ soc/core/_26016_/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/_04748_ (net) + 0.06 0.00 11.96 ^ soc/core/_37282_/D (sky130_fd_sc_hd__dfxtp_2) + 11.96 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.40 9.17 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.24 0.00 9.17 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.34 9.51 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.09 0.00 9.52 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.24 9.76 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.06 0.00 9.76 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.23 0.37 10.13 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_3_4_2_core_clk (net) + 0.23 0.01 10.14 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.40 10.54 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_8_0_core_clk (net) + 0.15 0.00 10.54 ^ soc/core/clkbuf_5_17_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.28 10.82 ^ soc/core/clkbuf_5_17_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_17_0_core_clk (net) + 0.07 0.00 10.82 ^ soc/core/clkbuf_5_17_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.31 1.18 12.00 ^ soc/core/clkbuf_5_17_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_17_1_core_clk (net) + 1.31 0.03 12.03 ^ soc/core/clkbuf_leaf_332_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.14 0.72 12.75 ^ soc/core/clkbuf_leaf_332_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.06 soc/core/clknet_leaf_332_core_clk (net) + 0.14 0.00 12.76 ^ soc/core/_37282_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.25 13.01 clock uncertainty + -1.75 11.26 clock reconvergence pessimism + -0.06 11.20 library hold time + 11.20 data required time +----------------------------------------------------------------------------- + 11.20 data required time + -11.96 data arrival time +----------------------------------------------------------------------------- + 0.77 slack (MET) + + +Startpoint: soc/core/_37572_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_37173_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 1.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 3.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 3.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 3.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 3.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 3.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 3.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 4.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 4.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 4.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 4.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 5.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 5.25 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 5.65 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 5.65 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 5.88 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.08 0.00 5.88 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.24 6.13 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.09 0.00 6.13 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.37 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.08 0.00 6.37 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.20 6.57 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.05 0.00 6.57 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.38 0.43 7.00 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.38 0.03 7.02 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.33 7.35 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_0_0_core_clk (net) + 0.05 0.00 7.35 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.71 0.65 8.00 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.15 soc/core/clknet_2_0_1_core_clk (net) + 0.71 0.03 8.03 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.45 8.48 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_0_core_clk (net) + 0.06 0.00 8.48 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 8.67 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_1_core_clk (net) + 0.05 0.00 8.67 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.26 8.94 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.02 soc/core/clknet_3_1_2_core_clk (net) + 0.13 0.00 8.94 ^ soc/core/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.32 9.26 ^ soc/core/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_3_0_core_clk (net) + 0.15 0.00 9.26 ^ soc/core/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.51 ^ soc/core/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_7_0_core_clk (net) + 0.07 0.00 9.51 ^ soc/core/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.81 0.73 10.25 ^ soc/core/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 22 0.18 soc/core/clknet_5_7_1_core_clk (net) + 0.81 0.00 10.25 ^ soc/core/clkbuf_leaf_383_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.16 0.55 10.80 ^ soc/core/clkbuf_leaf_383_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 32 0.08 soc/core/clknet_leaf_383_core_clk (net) + 0.16 0.00 10.80 ^ soc/core/_37572_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.37 0.90 11.70 v soc/core/_37572_/Q (sky130_fd_sc_hd__dfxtp_4) + 34 0.16 soc/core/VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[4] (net) + 0.38 0.04 11.74 v soc/core/_25899_/B (sky130_fd_sc_hd__nand3_2) + 0.15 0.30 12.04 ^ soc/core/_25899_/Y (sky130_fd_sc_hd__nand3_2) + 2 0.02 soc/core/_13475_ (net) + 0.15 0.00 12.05 ^ soc/core/_26248_/B1_N (sky130_fd_sc_hd__a21bo_1) + 0.06 0.37 12.42 v soc/core/_26248_/X (sky130_fd_sc_hd__a21bo_1) + 1 0.00 soc/core/_04639_ (net) + 0.06 0.00 12.42 v soc/core/_37173_/D (sky130_fd_sc_hd__dfxtp_2) + 12.42 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.40 9.17 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.24 0.00 9.17 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.34 9.51 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.09 0.00 9.52 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.24 9.76 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.06 0.00 9.76 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.23 0.37 10.13 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_3_4_2_core_clk (net) + 0.23 0.01 10.14 ^ soc/core/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.41 10.55 ^ soc/core/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_9_0_core_clk (net) + 0.17 0.00 10.56 ^ soc/core/clkbuf_5_18_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.85 ^ soc/core/clkbuf_5_18_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_18_0_core_clk (net) + 0.07 0.00 10.85 ^ soc/core/clkbuf_5_18_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.22 1.08 11.92 ^ soc/core/clkbuf_5_18_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.27 soc/core/clknet_5_18_1_core_clk (net) + 1.22 0.09 12.01 ^ soc/core/clkbuf_leaf_372_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.16 0.72 12.73 ^ soc/core/clkbuf_leaf_372_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_372_core_clk (net) + 0.16 0.00 12.73 ^ soc/core/_37173_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.25 12.98 clock uncertainty + -1.26 11.72 clock reconvergence pessimism + -0.07 11.65 library hold time + 11.65 data required time +----------------------------------------------------------------------------- + 11.65 data required time + -12.42 data arrival time +----------------------------------------------------------------------------- + 0.77 slack (MET) + + +Startpoint: soc/core/_39203_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_39203_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 1.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 3.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 3.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 3.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 3.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 3.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 3.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 4.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 4.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 4.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 4.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 5.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 5.25 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 5.65 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 5.65 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 5.88 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 5.89 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 6.12 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 6.12 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.35 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 6.35 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.59 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 6.59 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.40 6.99 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 7.00 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.33 7.33 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 7.33 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.36 7.69 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 7.70 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.31 8.01 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 8.01 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.23 8.24 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 8.24 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.32 8.56 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 8.56 ^ soc/core/clkbuf_4_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.35 8.91 ^ soc/core/clkbuf_4_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_13_0_core_clk (net) + 0.16 0.00 8.91 ^ soc/core/clkbuf_5_27_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.26 9.17 ^ soc/core/clkbuf_5_27_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_27_0_core_clk (net) + 0.07 0.00 9.17 ^ soc/core/clkbuf_5_27_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.42 1.14 10.31 ^ soc/core/clkbuf_5_27_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 36 0.31 soc/core/clknet_5_27_1_core_clk (net) + 1.42 0.03 10.34 ^ soc/core/clkbuf_leaf_176_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.15 0.69 11.03 ^ soc/core/clkbuf_leaf_176_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.06 soc/core/clknet_leaf_176_core_clk (net) + 0.15 0.00 11.03 ^ soc/core/_39203_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.15 0.66 11.70 v soc/core/_39203_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.02 soc/core/la_oe_storage[116] (net) + 0.15 0.00 11.70 v soc/core/_19090_/A (sky130_fd_sc_hd__inv_2) + 0.10 0.14 11.83 ^ soc/core/_19090_/Y (sky130_fd_sc_hd__inv_2) + 2 0.01 soc/core/net398 (net) + 0.10 0.00 11.83 ^ soc/core/_19092_/A1 (sky130_fd_sc_hd__a211oi_1) + 0.07 0.13 11.96 v soc/core/_19092_/Y (sky130_fd_sc_hd__a211oi_1) + 1 0.00 soc/core/_06669_ (net) + 0.07 0.00 11.96 v soc/core/_39203_/D (sky130_fd_sc_hd__dfxtp_1) + 11.96 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.01 10.13 ^ soc/core/clkbuf_4_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.39 10.52 ^ soc/core/clkbuf_4_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_13_0_core_clk (net) + 0.16 0.00 10.52 ^ soc/core/clkbuf_5_27_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.28 10.80 ^ soc/core/clkbuf_5_27_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_27_0_core_clk (net) + 0.07 0.00 10.80 ^ soc/core/clkbuf_5_27_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.42 1.26 12.06 ^ soc/core/clkbuf_5_27_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 36 0.31 soc/core/clknet_5_27_1_core_clk (net) + 1.42 0.04 12.10 ^ soc/core/clkbuf_leaf_176_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.15 0.76 12.86 ^ soc/core/clkbuf_leaf_176_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.06 soc/core/clknet_leaf_176_core_clk (net) + 0.15 0.00 12.86 ^ soc/core/_39203_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 13.11 clock uncertainty + -1.83 11.28 clock reconvergence pessimism + -0.09 11.20 library hold time + 11.20 data required time +----------------------------------------------------------------------------- + 11.20 data required time + -11.96 data arrival time +----------------------------------------------------------------------------- + 0.77 slack (MET) + + +Startpoint: housekeeping/_9026_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9026_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 1.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 3.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 3.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 3.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 3.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 3.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 3.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 4.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 4.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 4.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 4.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 5.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 5.25 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 5.65 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 5.66 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.19 5.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 5.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.32 6.16 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 6.16 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.36 6.52 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.20 0.00 6.52 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.34 0.42 6.94 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net) + 0.34 0.00 6.94 ^ housekeeping/_9026_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.12 0.75 7.69 ^ housekeeping/_9026_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.01 housekeeping/net355 (net) + 0.12 0.00 7.69 ^ housekeeping/_6966_/B1 (sky130_fd_sc_hd__o22a_1) + 0.06 0.24 7.93 ^ housekeeping/_6966_/X (sky130_fd_sc_hd__o22a_1) + 1 0.00 housekeeping/_0276_ (net) + 0.06 0.00 7.94 ^ housekeeping/_9026_/D (sky130_fd_sc_hd__dfxtp_1) + 7.94 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.92 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 6.92 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.21 7.13 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 7.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.35 7.48 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 7.48 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.39 7.87 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.20 0.00 7.88 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.34 0.47 8.34 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net) + 0.34 0.00 8.34 ^ housekeeping/_9026_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 8.59 clock uncertainty + -1.40 7.19 clock reconvergence pessimism + -0.03 7.17 library hold time + 7.17 data required time +----------------------------------------------------------------------------- + 7.17 data required time + -7.94 data arrival time +----------------------------------------------------------------------------- + 0.77 slack (MET) + + +Startpoint: soc/core/_35203_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_38704_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 1.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 3.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 3.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 3.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 3.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 3.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 3.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 4.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 4.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 4.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 4.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 5.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 5.25 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 5.65 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 5.65 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 5.88 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 5.89 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 6.12 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 6.12 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.35 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 6.35 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.59 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 6.59 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.40 6.99 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 7.00 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.33 7.33 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 7.33 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.36 7.69 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 7.70 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.31 8.01 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.09 0.00 8.01 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.22 8.23 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.06 0.00 8.23 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.20 0.32 8.55 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.20 0.01 8.56 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.36 8.92 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.17 0.00 8.92 ^ soc/core/clkbuf_5_29_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.26 9.17 ^ soc/core/clkbuf_5_29_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_29_0_core_clk (net) + 0.06 0.00 9.17 ^ soc/core/clkbuf_5_29_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.10 0.93 10.10 ^ soc/core/clkbuf_5_29_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.24 soc/core/clknet_5_29_1_core_clk (net) + 1.11 0.02 10.13 ^ soc/core/clkbuf_leaf_216_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.16 0.62 10.75 ^ soc/core/clkbuf_leaf_216_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 32 0.08 soc/core/clknet_leaf_216_core_clk (net) + 0.16 0.00 10.75 ^ soc/core/_35203_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.17 0.68 11.44 v soc/core/_35203_/Q (sky130_fd_sc_hd__dfxtp_1) + 3 0.02 soc/core/multiregimpl1_regs1 (net) + 0.17 0.00 11.44 v soc/core/_18051_/A (sky130_fd_sc_hd__nand3_4) + 0.36 0.34 11.77 ^ soc/core/_18051_/Y (sky130_fd_sc_hd__nand3_4) + 4 0.08 soc/core/_07916_ (net) + 0.36 0.00 11.78 ^ soc/core/_20884_/B1 (sky130_fd_sc_hd__o21ai_1) + 0.07 0.21 11.99 v soc/core/_20884_/Y (sky130_fd_sc_hd__o21ai_1) + 1 0.00 soc/core/_06170_ (net) + 0.07 0.00 11.99 v soc/core/_38704_/D (sky130_fd_sc_hd__dfxtp_4) + 11.99 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.09 0.00 9.52 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.24 9.76 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.06 0.00 9.76 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.20 0.36 10.12 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.20 0.01 10.13 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.40 10.53 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.17 0.00 10.53 ^ soc/core/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.82 ^ soc/core/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_28_0_core_clk (net) + 0.07 0.00 10.82 ^ soc/core/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.17 1.08 11.90 ^ soc/core/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.26 soc/core/clknet_5_28_1_core_clk (net) + 1.17 0.02 11.92 ^ soc/core/clkbuf_leaf_224_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.17 0.72 12.64 ^ soc/core/clkbuf_leaf_224_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 32 0.08 soc/core/clknet_leaf_224_core_clk (net) + 0.17 0.00 12.64 ^ soc/core/_38704_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.25 12.89 clock uncertainty + -1.61 11.29 clock reconvergence pessimism + -0.07 11.22 library hold time + 11.22 data required time +----------------------------------------------------------------------------- + 11.22 data required time + -11.99 data arrival time +----------------------------------------------------------------------------- + 0.77 slack (MET) + + +Startpoint: soc/core/_39457_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_36017_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 1.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 3.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 3.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 3.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 3.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 3.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 3.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 4.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 4.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 4.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 4.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 5.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 5.25 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 5.65 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 5.65 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 5.88 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 5.89 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 6.12 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 6.12 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.35 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 6.35 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.59 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 6.59 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.40 6.99 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 7.00 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.33 7.33 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 7.33 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.36 7.69 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 7.70 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.31 8.01 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.09 0.00 8.01 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.22 8.23 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.06 0.00 8.23 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.20 0.32 8.55 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.20 0.01 8.56 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.37 8.92 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.17 0.00 8.92 ^ soc/core/clkbuf_5_30_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.26 9.19 ^ soc/core/clkbuf_5_30_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_30_0_core_clk (net) + 0.07 0.00 9.19 ^ soc/core/clkbuf_5_30_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.23 1.01 10.20 ^ soc/core/clkbuf_5_30_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.27 soc/core/clknet_5_30_1_core_clk (net) + 1.23 0.01 10.21 ^ soc/core/clkbuf_leaf_195_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.10 0.59 10.80 ^ soc/core/clkbuf_leaf_195_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 6 0.03 soc/core/clknet_leaf_195_core_clk (net) + 0.10 0.00 10.80 ^ soc/core/_39457_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.08 0.59 11.39 v soc/core/_39457_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.01 soc/core/uart_phy_rx_data[2] (net) + 0.08 0.00 11.39 v soc/core/_17439_/A (sky130_fd_sc_hd__nand3_1) + 0.21 0.19 11.58 ^ soc/core/_17439_/Y (sky130_fd_sc_hd__nand3_1) + 2 0.01 soc/core/_07408_ (net) + 0.21 0.00 11.58 ^ soc/core/_17440_/A (sky130_fd_sc_hd__clkbuf_4) + 0.18 0.37 11.95 ^ soc/core/_17440_/X (sky130_fd_sc_hd__clkbuf_4) + 8 0.03 soc/core/_07409_ (net) + 0.18 0.00 11.96 ^ soc/core/_28978_/A2 (sky130_fd_sc_hd__o21ai_1) + 0.08 0.15 12.11 v soc/core/_28978_/Y (sky130_fd_sc_hd__o21ai_1) + 1 0.00 soc/core/_15231_ (net) + 0.08 0.00 12.11 v soc/core/_28979_/B1 (sky130_fd_sc_hd__o21a_1) + 0.05 0.17 12.28 v soc/core/_28979_/X (sky130_fd_sc_hd__o21a_1) + 1 0.00 soc/core/_03483_ (net) + 0.05 0.00 12.28 v soc/core/_36017_/D (sky130_fd_sc_hd__dfxtp_1) + 12.28 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.09 0.00 9.52 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.24 9.76 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.06 0.00 9.76 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.20 0.36 10.12 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.20 0.01 10.13 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.40 10.53 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.17 0.00 10.53 ^ soc/core/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.82 ^ soc/core/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_31_0_core_clk (net) + 0.07 0.00 10.82 ^ soc/core/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.44 1.27 12.09 ^ soc/core/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 38 0.32 soc/core/clknet_5_31_1_core_clk (net) + 1.45 0.05 12.14 ^ soc/core/clkbuf_leaf_208_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.18 0.80 12.94 ^ soc/core/clkbuf_leaf_208_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 34 0.08 soc/core/clknet_leaf_208_core_clk (net) + 0.18 0.00 12.94 ^ soc/core/_36017_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 13.19 clock uncertainty + -1.61 11.58 clock reconvergence pessimism + -0.07 11.51 library hold time + 11.51 data required time +----------------------------------------------------------------------------- + 11.51 data required time + -12.28 data arrival time +----------------------------------------------------------------------------- + 0.77 slack (MET) + + +Startpoint: soc/core/_38295_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_38295_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 1.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 3.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 3.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 3.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 3.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 3.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 3.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 4.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 4.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 4.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 4.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 5.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 5.25 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 5.65 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 5.65 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 5.88 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 5.89 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 6.12 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 6.12 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.35 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 6.35 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.59 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 6.59 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.40 6.99 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 7.00 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.33 7.33 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 7.33 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.36 7.69 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 7.70 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.31 8.01 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.09 0.00 8.01 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.22 8.23 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.06 0.00 8.23 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.20 0.32 8.55 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.20 0.01 8.56 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.37 8.92 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.17 0.00 8.92 ^ soc/core/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.26 9.19 ^ soc/core/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_31_0_core_clk (net) + 0.07 0.00 9.19 ^ soc/core/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.44 1.15 10.34 ^ soc/core/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 38 0.32 soc/core/clknet_5_31_1_core_clk (net) + 1.44 0.03 10.36 ^ soc/core/clkbuf_leaf_187_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.11 0.66 11.02 ^ soc/core/clkbuf_leaf_187_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 9 0.03 soc/core/clknet_leaf_187_core_clk (net) + 0.11 0.00 11.02 ^ soc/core/_38295_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.08 0.59 11.61 v soc/core/_38295_/Q (sky130_fd_sc_hd__dfxtp_1) + 3 0.01 soc/core/uart_phy_tx_phase[24] (net) + 0.08 0.00 11.61 v soc/core/_22196_/B1 (sky130_fd_sc_hd__a31oi_1) + 0.18 0.23 11.84 ^ soc/core/_22196_/Y (sky130_fd_sc_hd__a31oi_1) + 1 0.00 soc/core/_10742_ (net) + 0.18 0.00 11.84 ^ soc/core/_22198_/B (sky130_fd_sc_hd__nor3_1) + 0.04 0.12 11.96 v soc/core/_22198_/Y (sky130_fd_sc_hd__nor3_1) + 1 0.00 soc/core/_05761_ (net) + 0.04 0.00 11.96 v soc/core/_38295_/D (sky130_fd_sc_hd__dfxtp_1) + 11.96 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.09 0.00 9.52 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.24 9.76 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.06 0.00 9.76 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.20 0.36 10.12 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.20 0.01 10.13 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.40 10.53 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.17 0.00 10.53 ^ soc/core/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.82 ^ soc/core/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_31_0_core_clk (net) + 0.07 0.00 10.82 ^ soc/core/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.44 1.27 12.09 ^ soc/core/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 38 0.32 soc/core/clknet_5_31_1_core_clk (net) + 1.44 0.03 12.12 ^ soc/core/clkbuf_leaf_187_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.11 0.72 12.85 ^ soc/core/clkbuf_leaf_187_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 9 0.03 soc/core/clknet_leaf_187_core_clk (net) + 0.11 0.00 12.85 ^ soc/core/_38295_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 13.10 clock uncertainty + -1.83 11.27 clock reconvergence pessimism + -0.09 11.19 library hold time + 11.19 data required time +----------------------------------------------------------------------------- + 11.19 data required time + -11.96 data arrival time +----------------------------------------------------------------------------- + 0.77 slack (MET) + + +Startpoint: soc/core/_38823_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_38809_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 1.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 3.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 3.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 3.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 3.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 3.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 3.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 4.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 4.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 4.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 4.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 5.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 5.25 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 5.65 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 5.65 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 5.88 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 5.89 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 6.12 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 6.12 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.35 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 6.35 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.59 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 6.59 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.40 6.99 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 7.00 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.33 7.33 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 7.33 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.36 7.69 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 7.70 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.31 8.01 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.09 0.00 8.01 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.22 8.23 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.06 0.00 8.23 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.20 0.32 8.55 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.20 0.01 8.56 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.37 8.92 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.17 0.00 8.92 ^ soc/core/clkbuf_5_30_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.26 9.19 ^ soc/core/clkbuf_5_30_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_30_0_core_clk (net) + 0.07 0.00 9.19 ^ soc/core/clkbuf_5_30_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.23 1.01 10.20 ^ soc/core/clkbuf_5_30_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.27 soc/core/clknet_5_30_1_core_clk (net) + 1.23 0.03 10.23 ^ soc/core/clkbuf_leaf_171_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.61 10.84 ^ soc/core/clkbuf_leaf_171_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 10 0.04 soc/core/clknet_leaf_171_core_clk (net) + 0.12 0.00 10.85 ^ soc/core/_38823_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.44 0.93 11.77 v soc/core/_38823_/Q (sky130_fd_sc_hd__dfxtp_4) + 54 0.19 soc/core/mgmtsoc_master_rx_fifo_source_valid (net) + 0.44 0.03 11.80 v soc/core/_20462_/B (sky130_fd_sc_hd__nand3_1) + 0.09 0.27 12.07 ^ soc/core/_20462_/Y (sky130_fd_sc_hd__nand3_1) + 1 0.00 soc/core/_09609_ (net) + 0.09 0.00 12.07 ^ soc/core/_20463_/A2 (sky130_fd_sc_hd__a21oi_1) + 0.08 0.13 12.19 v soc/core/_20463_/Y (sky130_fd_sc_hd__a21oi_1) + 1 0.00 soc/core/_06275_ (net) + 0.08 0.00 12.19 v soc/core/_38809_/D (sky130_fd_sc_hd__dfxtp_2) + 12.19 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.27 1.13 11.94 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.28 soc/core/clknet_5_25_1_core_clk (net) + 1.27 0.06 12.00 ^ soc/core/clkbuf_leaf_233_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.15 0.73 12.73 ^ soc/core/clkbuf_leaf_233_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 22 0.07 soc/core/clknet_leaf_233_core_clk (net) + 0.15 0.00 12.73 ^ soc/core/_38809_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.25 12.98 clock uncertainty + -1.48 11.50 clock reconvergence pessimism + -0.08 11.42 library hold time + 11.42 data required time +----------------------------------------------------------------------------- + 11.42 data required time + -12.19 data arrival time +----------------------------------------------------------------------------- + 0.77 slack (MET) + + +Startpoint: soc/core/_36721_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_36722_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 1.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 3.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 3.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 3.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 3.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 3.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 3.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 4.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 4.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 4.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 4.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 5.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 5.25 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 5.65 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 5.65 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 5.88 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 5.89 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 6.12 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 6.12 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.35 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 6.35 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.59 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 6.59 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.40 6.99 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 7.00 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.33 7.33 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 7.33 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.36 7.69 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 7.70 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.31 8.01 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.09 0.00 8.01 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.22 8.23 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.06 0.00 8.23 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.20 0.32 8.55 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.20 0.01 8.56 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.36 8.92 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.17 0.00 8.92 ^ soc/core/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.26 9.18 ^ soc/core/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_28_0_core_clk (net) + 0.07 0.00 9.18 ^ soc/core/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.17 0.98 10.16 ^ soc/core/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.26 soc/core/clknet_5_28_1_core_clk (net) + 1.17 0.02 10.19 ^ soc/core/clkbuf_leaf_232_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.61 10.80 ^ soc/core/clkbuf_leaf_232_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 16 0.05 soc/core/clknet_leaf_232_core_clk (net) + 0.12 0.00 10.80 ^ soc/core/_36721_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.24 0.77 11.57 ^ soc/core/_36721_/Q (sky130_fd_sc_hd__dfxtp_2) + 5 0.03 soc/core/mgmtsoc_litespisdrphycore_sr_in[18] (net) + 0.24 0.00 11.57 ^ soc/core/_33732_/A1 (sky130_fd_sc_hd__mux2_1) + 0.07 0.29 11.86 ^ soc/core/_33732_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/_02780_ (net) + 0.07 0.00 11.86 ^ soc/core/_27217_/A1 (sky130_fd_sc_hd__mux2_1) + 0.08 0.22 12.08 ^ soc/core/_27217_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/_14182_ (net) + 0.08 0.00 12.08 ^ soc/core/_27218_/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.13 12.22 ^ soc/core/_27218_/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/_04188_ (net) + 0.06 0.00 12.22 ^ soc/core/_36722_/D (sky130_fd_sc_hd__dfxtp_2) + 12.22 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.27 1.13 11.94 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.28 soc/core/clknet_5_25_1_core_clk (net) + 1.27 0.06 12.00 ^ soc/core/clkbuf_leaf_233_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.15 0.73 12.73 ^ soc/core/clkbuf_leaf_233_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 22 0.07 soc/core/clknet_leaf_233_core_clk (net) + 0.15 0.00 12.73 ^ soc/core/_36722_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.25 12.98 clock uncertainty + -1.48 11.50 clock reconvergence pessimism + -0.06 11.44 library hold time + 11.44 data required time +----------------------------------------------------------------------------- + 11.44 data required time + -12.22 data arrival time +----------------------------------------------------------------------------- + 0.77 slack (MET) + + +Startpoint: soc/core/_37572_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_37213_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 1.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 3.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 3.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 3.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 3.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 3.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 3.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 4.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 4.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 4.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 4.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 5.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 5.25 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 5.65 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 5.65 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 5.88 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.08 0.00 5.88 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.24 6.13 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.09 0.00 6.13 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.37 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.08 0.00 6.37 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.20 6.57 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.05 0.00 6.57 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.38 0.43 7.00 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.38 0.03 7.02 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.33 7.35 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_0_0_core_clk (net) + 0.05 0.00 7.35 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.71 0.65 8.00 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.15 soc/core/clknet_2_0_1_core_clk (net) + 0.71 0.03 8.03 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.45 8.48 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_0_core_clk (net) + 0.06 0.00 8.48 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 8.67 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_1_core_clk (net) + 0.05 0.00 8.67 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.26 8.94 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.02 soc/core/clknet_3_1_2_core_clk (net) + 0.13 0.00 8.94 ^ soc/core/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.32 9.26 ^ soc/core/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_3_0_core_clk (net) + 0.15 0.00 9.26 ^ soc/core/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.51 ^ soc/core/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_7_0_core_clk (net) + 0.07 0.00 9.51 ^ soc/core/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.81 0.73 10.25 ^ soc/core/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 22 0.18 soc/core/clknet_5_7_1_core_clk (net) + 0.81 0.00 10.25 ^ soc/core/clkbuf_leaf_383_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.16 0.55 10.80 ^ soc/core/clkbuf_leaf_383_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 32 0.08 soc/core/clknet_leaf_383_core_clk (net) + 0.16 0.00 10.80 ^ soc/core/_37572_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.37 0.90 11.70 v soc/core/_37572_/Q (sky130_fd_sc_hd__dfxtp_4) + 34 0.16 soc/core/VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[4] (net) + 0.38 0.04 11.74 v soc/core/_26168_/A1 (sky130_fd_sc_hd__o21ai_1) + 0.14 0.32 12.06 ^ soc/core/_26168_/Y (sky130_fd_sc_hd__o21ai_1) + 1 0.00 soc/core/_13624_ (net) + 0.14 0.00 12.06 ^ soc/core/_26169_/A1 (sky130_fd_sc_hd__mux2_1) + 0.07 0.24 12.30 ^ soc/core/_26169_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/_13625_ (net) + 0.07 0.00 12.30 ^ soc/core/_26170_/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.13 12.43 ^ soc/core/_26170_/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/_04679_ (net) + 0.05 0.00 12.43 ^ soc/core/_37213_/D (sky130_fd_sc_hd__dfxtp_4) + 12.43 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.40 9.17 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.24 0.00 9.17 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.34 9.51 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.09 0.00 9.52 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.24 9.76 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.06 0.00 9.76 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.23 0.37 10.13 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_3_4_2_core_clk (net) + 0.23 0.01 10.14 ^ soc/core/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.41 10.55 ^ soc/core/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_9_0_core_clk (net) + 0.17 0.00 10.56 ^ soc/core/clkbuf_5_18_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.85 ^ soc/core/clkbuf_5_18_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_18_0_core_clk (net) + 0.07 0.00 10.85 ^ soc/core/clkbuf_5_18_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.22 1.08 11.92 ^ soc/core/clkbuf_5_18_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.27 soc/core/clknet_5_18_1_core_clk (net) + 1.22 0.09 12.01 ^ soc/core/clkbuf_leaf_371_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.15 0.71 12.72 ^ soc/core/clkbuf_leaf_371_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_371_core_clk (net) + 0.15 0.00 12.72 ^ soc/core/_37213_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.25 12.97 clock uncertainty + -1.26 11.71 clock reconvergence pessimism + -0.05 11.66 library hold time + 11.66 data required time +----------------------------------------------------------------------------- + 11.66 data required time + -12.43 data arrival time +----------------------------------------------------------------------------- + 0.77 slack (MET) + + +Startpoint: soc/core/_39366_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_39366_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 1.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 3.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 3.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 3.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 3.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 3.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 3.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 4.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 4.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 4.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 4.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 5.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 5.25 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 5.65 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 5.65 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 5.88 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 5.89 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 6.12 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 6.12 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.35 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 6.35 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.59 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 6.59 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.40 6.99 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 7.00 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.33 7.33 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 7.33 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.36 7.69 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 7.70 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.31 8.01 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.09 0.00 8.01 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.22 8.23 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.06 0.00 8.23 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.20 0.32 8.55 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.20 0.01 8.56 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.36 8.92 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.17 0.00 8.92 ^ soc/core/clkbuf_5_29_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.26 9.17 ^ soc/core/clkbuf_5_29_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_29_0_core_clk (net) + 0.06 0.00 9.17 ^ soc/core/clkbuf_5_29_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.10 0.93 10.10 ^ soc/core/clkbuf_5_29_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.24 soc/core/clknet_5_29_1_core_clk (net) + 1.10 0.01 10.12 ^ soc/core/clkbuf_leaf_214_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.14 0.60 10.72 ^ soc/core/clkbuf_leaf_214_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.06 soc/core/clknet_leaf_214_core_clk (net) + 0.14 0.00 10.72 ^ soc/core/_39366_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.12 0.67 11.39 v soc/core/_39366_/Q (sky130_fd_sc_hd__dfxtp_2) + 5 0.02 soc/core/dbg_uart_tx_count[2] (net) + 0.12 0.00 11.39 v soc/core/_18032_/B (sky130_fd_sc_hd__nand3b_1) + 0.09 0.14 11.53 ^ soc/core/_18032_/Y (sky130_fd_sc_hd__nand3b_1) + 1 0.00 soc/core/_07903_ (net) + 0.09 0.00 11.53 ^ soc/core/_18033_/A2 (sky130_fd_sc_hd__a21oi_1) + 0.09 0.13 11.66 v soc/core/_18033_/Y (sky130_fd_sc_hd__a21oi_1) + 1 0.00 soc/core/_06832_ (net) + 0.09 0.00 11.66 v soc/core/_39366_/D (sky130_fd_sc_hd__dfxtp_2) + 11.66 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.09 0.00 9.52 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.24 9.76 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.06 0.00 9.76 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.20 0.36 10.12 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.20 0.01 10.13 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.40 10.53 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.17 0.00 10.53 ^ soc/core/clkbuf_5_29_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.28 10.81 ^ soc/core/clkbuf_5_29_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_29_0_core_clk (net) + 0.06 0.00 10.81 ^ soc/core/clkbuf_5_29_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.10 1.03 11.84 ^ soc/core/clkbuf_5_29_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.24 soc/core/clknet_5_29_1_core_clk (net) + 1.10 0.02 11.85 ^ soc/core/clkbuf_leaf_214_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.14 0.67 12.52 ^ soc/core/clkbuf_leaf_214_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.06 soc/core/clknet_leaf_214_core_clk (net) + 0.14 0.00 12.52 ^ soc/core/_39366_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.25 12.77 clock uncertainty + -1.80 10.97 clock reconvergence pessimism + -0.08 10.89 library hold time + 10.89 data required time +----------------------------------------------------------------------------- + 10.89 data required time + -11.66 data arrival time +----------------------------------------------------------------------------- + 0.77 slack (MET) + + +Startpoint: soc/core/_39118_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_39119_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 1.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 3.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 3.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 3.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 3.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 3.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 3.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 4.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 4.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 4.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 4.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 5.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 5.25 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 5.65 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 5.65 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 5.88 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 5.89 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 6.12 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 6.12 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.35 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 6.35 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.59 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 6.59 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.40 6.99 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 7.00 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.33 7.33 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 7.33 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.36 7.69 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 7.70 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.31 8.01 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.09 0.00 8.01 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.22 8.23 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.06 0.00 8.23 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.20 0.32 8.55 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.20 0.01 8.56 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.36 8.92 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.17 0.00 8.92 ^ soc/core/clkbuf_5_29_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.26 9.17 ^ soc/core/clkbuf_5_29_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_29_0_core_clk (net) + 0.06 0.00 9.17 ^ soc/core/clkbuf_5_29_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.10 0.93 10.10 ^ soc/core/clkbuf_5_29_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.24 soc/core/clknet_5_29_1_core_clk (net) + 1.10 0.01 10.12 ^ soc/core/clkbuf_leaf_212_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.15 0.62 10.74 ^ soc/core/clkbuf_leaf_212_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 28 0.07 soc/core/clknet_leaf_212_core_clk (net) + 0.15 0.00 10.74 ^ soc/core/_39118_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.06 0.60 11.34 v soc/core/_39118_/Q (sky130_fd_sc_hd__dfxtp_1) + 1 0.00 soc/core/count[16] (net) + 0.06 0.00 11.34 v soc/core/_16941_/A (sky130_fd_sc_hd__inv_2) + 0.08 0.09 11.43 ^ soc/core/_16941_/Y (sky130_fd_sc_hd__inv_2) + 4 0.01 soc/core/_07006_ (net) + 0.08 0.00 11.43 ^ soc/core/_16943_/C (sky130_fd_sc_hd__and4_2) + 0.26 0.61 12.04 ^ soc/core/_16943_/X (sky130_fd_sc_hd__and4_2) + 4 0.03 soc/core/_07008_ (net) + 0.26 0.00 12.04 ^ soc/core/_19486_/A (sky130_fd_sc_hd__inv_2) + 0.06 0.11 12.14 v soc/core/_19486_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/_08990_ (net) + 0.06 0.00 12.14 v soc/core/_19488_/B (sky130_fd_sc_hd__nand3_1) + 0.11 0.13 12.27 ^ soc/core/_19488_/Y (sky130_fd_sc_hd__nand3_1) + 1 0.00 soc/core/_06585_ (net) + 0.11 0.00 12.27 ^ soc/core/_39119_/D (sky130_fd_sc_hd__dfxtp_1) + 12.27 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.09 0.00 9.52 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.24 9.76 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.06 0.00 9.76 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.20 0.36 10.12 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.20 0.01 10.13 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.40 10.53 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.17 0.00 10.53 ^ soc/core/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.82 ^ soc/core/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_31_0_core_clk (net) + 0.07 0.00 10.82 ^ soc/core/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.44 1.27 12.09 ^ soc/core/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 38 0.32 soc/core/clknet_5_31_1_core_clk (net) + 1.44 0.02 12.11 ^ soc/core/clkbuf_leaf_206_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.15 0.77 12.88 ^ soc/core/clkbuf_leaf_206_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.06 soc/core/clknet_leaf_206_core_clk (net) + 0.15 0.00 12.88 ^ soc/core/_39119_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 13.13 clock uncertainty + -1.57 11.56 clock reconvergence pessimism + -0.07 11.49 library hold time + 11.49 data required time +----------------------------------------------------------------------------- + 11.49 data required time + -12.27 data arrival time +----------------------------------------------------------------------------- + 0.77 slack (MET) + + +Startpoint: soc/core/_38617_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_38129_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 1.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 3.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 3.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 3.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 3.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 3.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 3.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 4.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 4.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 4.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 4.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 5.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 5.25 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 5.65 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 5.65 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 5.88 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.08 0.00 5.88 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.24 6.13 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.09 0.00 6.13 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.37 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.08 0.00 6.37 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.20 6.57 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.05 0.00 6.57 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.38 0.43 7.00 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.38 0.03 7.02 ^ soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.33 7.35 ^ soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.06 0.00 7.35 ^ soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.35 7.70 ^ soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.24 0.00 7.70 ^ soc/core/clkbuf_3_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.32 8.02 ^ soc/core/clkbuf_3_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_3_0_core_clk (net) + 0.09 0.00 8.02 ^ soc/core/clkbuf_3_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.23 8.25 ^ soc/core/clkbuf_3_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_3_1_core_clk (net) + 0.07 0.00 8.25 ^ soc/core/clkbuf_3_3_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.23 0.35 8.60 ^ soc/core/clkbuf_3_3_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_3_3_2_core_clk (net) + 0.24 0.01 8.60 ^ soc/core/clkbuf_4_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.18 0.39 8.99 ^ soc/core/clkbuf_4_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_4_7_0_core_clk (net) + 0.18 0.00 8.99 ^ soc/core/clkbuf_5_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.27 9.26 ^ soc/core/clkbuf_5_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_15_0_core_clk (net) + 0.07 0.00 9.26 ^ soc/core/clkbuf_5_15_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.00 0.86 10.13 ^ soc/core/clkbuf_5_15_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 24 0.22 soc/core/clknet_5_15_1_core_clk (net) + 1.00 0.02 10.15 ^ soc/core/clkbuf_leaf_150_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.10 0.54 10.69 ^ soc/core/clkbuf_leaf_150_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 7 0.03 soc/core/clknet_leaf_150_core_clk (net) + 0.10 0.00 10.69 ^ soc/core/_38617_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.35 0.80 11.50 ^ soc/core/_38617_/Q (sky130_fd_sc_hd__dfxtp_1) + 3 0.02 soc/core/la_ien_storage[84] (net) + 0.35 0.00 11.50 ^ soc/core/_23045_/B (sky130_fd_sc_hd__nand3_1) + 0.14 0.25 11.75 v soc/core/_23045_/Y (sky130_fd_sc_hd__nand3_1) + 1 0.00 soc/core/_11425_ (net) + 0.14 0.00 11.75 v soc/core/_23047_/A (sky130_fd_sc_hd__nand2_1) + 0.08 0.12 11.87 ^ soc/core/_23047_/Y (sky130_fd_sc_hd__nand2_1) + 1 0.00 soc/core/_11427_ (net) + 0.08 0.00 11.87 ^ soc/core/_23048_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.12 11.99 v soc/core/_23048_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.01 soc/core/_11428_ (net) + 0.11 0.00 11.99 v soc/core/_23049_/A4 (sky130_fd_sc_hd__a41oi_4) + 0.10 0.30 12.29 ^ soc/core/_23049_/Y (sky130_fd_sc_hd__a41oi_4) + 1 0.00 soc/core/_05595_ (net) + 0.10 0.00 12.29 ^ soc/core/hold1223/A (sky130_fd_sc_hd__dlymetal6s4s_1) + 0.22 0.41 12.70 ^ soc/core/hold1223/X (sky130_fd_sc_hd__dlymetal6s4s_1) + 1 0.01 soc/core/net4072 (net) + 0.22 0.00 12.70 ^ soc/core/_38129_/D (sky130_fd_sc_hd__dfxtp_1) + 12.70 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.01 10.13 ^ soc/core/clkbuf_4_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.39 10.52 ^ soc/core/clkbuf_4_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_13_0_core_clk (net) + 0.16 0.00 10.52 ^ soc/core/clkbuf_5_26_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.28 10.80 ^ soc/core/clkbuf_5_26_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_26_0_core_clk (net) + 0.07 0.00 10.80 ^ soc/core/clkbuf_5_26_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.59 1.38 12.19 ^ soc/core/clkbuf_5_26_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.35 soc/core/clknet_5_26_1_core_clk (net) + 1.59 0.03 12.21 ^ soc/core/clkbuf_leaf_148_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.16 0.82 13.03 ^ soc/core/clkbuf_leaf_148_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 22 0.07 soc/core/clknet_leaf_148_core_clk (net) + 0.16 0.00 13.03 ^ soc/core/_38129_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 13.28 clock uncertainty + -1.26 12.02 clock reconvergence pessimism + -0.09 11.93 library hold time + 11.93 data required time +----------------------------------------------------------------------------- + 11.93 data required time + -12.70 data arrival time +----------------------------------------------------------------------------- + 0.78 slack (MET) + + +Startpoint: soc/core/_38379_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_38379_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 1.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 3.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 3.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 3.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 3.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 3.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 3.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 4.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 4.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 4.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 4.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 5.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 5.25 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 5.65 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 5.65 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 5.88 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 5.89 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 6.12 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 6.12 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.35 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 6.35 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.59 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 6.59 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.40 6.99 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 7.00 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.33 7.33 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 7.33 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.36 7.69 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 7.70 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.31 8.01 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 8.01 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.23 8.24 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 8.24 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.32 8.56 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 8.56 ^ soc/core/clkbuf_4_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.35 8.91 ^ soc/core/clkbuf_4_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_13_0_core_clk (net) + 0.16 0.00 8.91 ^ soc/core/clkbuf_5_26_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.26 9.17 ^ soc/core/clkbuf_5_26_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_26_0_core_clk (net) + 0.07 0.00 9.17 ^ soc/core/clkbuf_5_26_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.59 1.25 10.42 ^ soc/core/clkbuf_5_26_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.35 soc/core/clknet_5_26_1_core_clk (net) + 1.59 0.02 10.44 ^ soc/core/clkbuf_leaf_140_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.14 0.72 11.16 ^ soc/core/clkbuf_leaf_140_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.05 soc/core/clknet_leaf_140_core_clk (net) + 0.14 0.00 11.16 ^ soc/core/_38379_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.13 0.64 11.81 v soc/core/_38379_/Q (sky130_fd_sc_hd__dfxtp_1) + 4 0.01 soc/core/mgmtsoc_bus_errors[21] (net) + 0.13 0.00 11.81 v soc/core/_21885_/A1 (sky130_fd_sc_hd__o21bai_1) + 0.10 0.23 12.04 ^ soc/core/_21885_/Y (sky130_fd_sc_hd__o21bai_1) + 1 0.00 soc/core/_10518_ (net) + 0.10 0.00 12.04 ^ soc/core/_21886_/B (sky130_fd_sc_hd__nor2_1) + 0.04 0.07 12.11 v soc/core/_21886_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 soc/core/_05845_ (net) + 0.04 0.00 12.11 v soc/core/_38379_/D (sky130_fd_sc_hd__dfxtp_1) + 12.11 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.01 10.13 ^ soc/core/clkbuf_4_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.39 10.52 ^ soc/core/clkbuf_4_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_13_0_core_clk (net) + 0.16 0.00 10.52 ^ soc/core/clkbuf_5_26_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.28 10.80 ^ soc/core/clkbuf_5_26_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_26_0_core_clk (net) + 0.07 0.00 10.80 ^ soc/core/clkbuf_5_26_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.59 1.38 12.19 ^ soc/core/clkbuf_5_26_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.35 soc/core/clknet_5_26_1_core_clk (net) + 1.59 0.02 12.20 ^ soc/core/clkbuf_leaf_140_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.14 0.80 13.00 ^ soc/core/clkbuf_leaf_140_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.05 soc/core/clknet_leaf_140_core_clk (net) + 0.14 0.00 13.01 ^ soc/core/_38379_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 13.26 clock uncertainty + -1.84 11.41 clock reconvergence pessimism + -0.08 11.34 library hold time + 11.34 data required time +----------------------------------------------------------------------------- + 11.34 data required time + -12.11 data arrival time +----------------------------------------------------------------------------- + 0.78 slack (MET) + + +Startpoint: soc/core/_38764_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_38753_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 1.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 3.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 3.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 3.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 3.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 3.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 3.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 4.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 4.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 4.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 4.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 5.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 5.25 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 5.65 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 5.65 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 5.88 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.08 0.00 5.88 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.24 6.13 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.09 0.00 6.13 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.37 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.08 0.00 6.37 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.20 6.57 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.05 0.00 6.57 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.38 0.43 7.00 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.38 0.03 7.02 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.33 7.35 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_0_0_core_clk (net) + 0.05 0.00 7.35 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.71 0.65 8.00 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.15 soc/core/clknet_2_0_1_core_clk (net) + 0.71 0.03 8.03 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.45 8.48 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_0_core_clk (net) + 0.06 0.00 8.48 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 8.67 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_1_core_clk (net) + 0.05 0.00 8.67 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.26 8.94 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.02 soc/core/clknet_3_1_2_core_clk (net) + 0.13 0.00 8.94 ^ soc/core/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.32 9.26 ^ soc/core/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_3_0_core_clk (net) + 0.15 0.00 9.26 ^ soc/core/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.51 ^ soc/core/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_7_0_core_clk (net) + 0.07 0.00 9.51 ^ soc/core/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.81 0.73 10.25 ^ soc/core/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 22 0.18 soc/core/clknet_5_7_1_core_clk (net) + 0.81 0.02 10.27 ^ soc/core/clkbuf_leaf_115_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.17 0.56 10.83 ^ soc/core/clkbuf_leaf_115_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 32 0.09 soc/core/clknet_leaf_115_core_clk (net) + 0.17 0.00 10.83 ^ soc/core/_38764_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.43 0.96 11.78 ^ soc/core/_38764_/Q (sky130_fd_sc_hd__dfxtp_4) + 10 0.09 soc/core/VexRiscv.DebugPlugin_stepIt (net) + 0.43 0.03 11.81 ^ soc/core/_20631_/A1 (sky130_fd_sc_hd__a2111oi_2) + 0.06 0.29 12.10 v soc/core/_20631_/Y (sky130_fd_sc_hd__a2111oi_2) + 1 0.01 soc/core/_09726_ (net) + 0.06 0.00 12.10 v soc/core/_20632_/C (sky130_fd_sc_hd__nand3b_1) + 0.16 0.17 12.27 ^ soc/core/_20632_/Y (sky130_fd_sc_hd__nand3b_1) + 2 0.01 soc/core/_09727_ (net) + 0.16 0.00 12.27 ^ soc/core/_20682_/A2 (sky130_fd_sc_hd__a21oi_1) + 0.06 0.15 12.41 v soc/core/_20682_/Y (sky130_fd_sc_hd__a21oi_1) + 1 0.00 soc/core/_06219_ (net) + 0.06 0.00 12.41 v soc/core/_38753_/D (sky130_fd_sc_hd__dfxtp_2) + 12.41 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.40 9.17 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.24 0.00 9.17 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.34 9.51 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.09 0.00 9.52 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.24 9.76 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.06 0.00 9.76 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.23 0.37 10.13 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_3_4_2_core_clk (net) + 0.23 0.01 10.14 ^ soc/core/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.41 10.55 ^ soc/core/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_9_0_core_clk (net) + 0.17 0.00 10.56 ^ soc/core/clkbuf_5_18_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.85 ^ soc/core/clkbuf_5_18_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_18_0_core_clk (net) + 0.07 0.00 10.85 ^ soc/core/clkbuf_5_18_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.22 1.08 11.92 ^ soc/core/clkbuf_5_18_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.27 soc/core/clknet_5_18_1_core_clk (net) + 1.22 0.09 12.01 ^ soc/core/clkbuf_leaf_379_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.15 0.71 12.72 ^ soc/core/clkbuf_leaf_379_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_379_core_clk (net) + 0.15 0.00 12.72 ^ soc/core/_38753_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.25 12.97 clock uncertainty + -1.26 11.71 clock reconvergence pessimism + -0.07 11.64 library hold time + 11.64 data required time +----------------------------------------------------------------------------- + 11.64 data required time + -12.41 data arrival time +----------------------------------------------------------------------------- + 0.78 slack (MET) + + +Startpoint: soc/core/_38277_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_38278_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 1.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 3.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 3.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 3.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 3.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 3.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 3.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 4.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 4.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 4.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 4.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 5.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 5.25 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 5.65 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 5.65 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 5.88 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 5.89 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 6.12 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 6.12 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.35 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 6.35 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.59 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 6.59 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.40 6.99 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 7.00 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.33 7.33 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 7.33 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.36 7.69 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 7.70 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.31 8.01 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.09 0.00 8.01 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.22 8.23 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.06 0.00 8.23 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.20 0.32 8.55 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.20 0.01 8.56 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.37 8.92 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.17 0.00 8.92 ^ soc/core/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.26 9.19 ^ soc/core/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_31_0_core_clk (net) + 0.07 0.00 9.19 ^ soc/core/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.44 1.15 10.34 ^ soc/core/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 38 0.32 soc/core/clknet_5_31_1_core_clk (net) + 1.44 0.03 10.36 ^ soc/core/clkbuf_leaf_187_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.11 0.66 11.02 ^ soc/core/clkbuf_leaf_187_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 9 0.03 soc/core/clknet_leaf_187_core_clk (net) + 0.11 0.00 11.02 ^ soc/core/_38277_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.15 0.68 11.70 v soc/core/_38277_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/uart_phy_tx_phase[6] (net) + 0.15 0.00 11.70 v soc/core/_22238_/A2 (sky130_fd_sc_hd__a21oi_1) + 0.20 0.28 11.99 ^ soc/core/_22238_/Y (sky130_fd_sc_hd__a21oi_1) + 1 0.00 soc/core/_10767_ (net) + 0.20 0.00 11.99 ^ soc/core/_22239_/B (sky130_fd_sc_hd__nor3b_1) + 0.06 0.12 12.11 v soc/core/_22239_/Y (sky130_fd_sc_hd__nor3b_1) + 1 0.00 soc/core/_05744_ (net) + 0.06 0.00 12.11 v soc/core/_38278_/D (sky130_fd_sc_hd__dfxtp_2) + 12.11 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.09 0.00 9.52 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.24 9.76 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.06 0.00 9.76 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.20 0.36 10.12 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.20 0.01 10.13 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.40 10.53 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.17 0.00 10.53 ^ soc/core/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.82 ^ soc/core/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_31_0_core_clk (net) + 0.07 0.00 10.82 ^ soc/core/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.44 1.27 12.09 ^ soc/core/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 38 0.32 soc/core/clknet_5_31_1_core_clk (net) + 1.45 0.04 12.13 ^ soc/core/clkbuf_leaf_186_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.15 0.77 12.90 ^ soc/core/clkbuf_leaf_186_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.06 soc/core/clknet_leaf_186_core_clk (net) + 0.15 0.00 12.91 ^ soc/core/_38278_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.25 13.16 clock uncertainty + -1.76 11.40 clock reconvergence pessimism + -0.07 11.33 library hold time + 11.33 data required time +----------------------------------------------------------------------------- + 11.33 data required time + -12.11 data arrival time +----------------------------------------------------------------------------- + 0.78 slack (MET) + + +Startpoint: soc/core/_38394_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_38396_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 1.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 3.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 3.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 3.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 3.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 3.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 3.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 4.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 4.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 4.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 4.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 5.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 5.25 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 5.65 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 5.65 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 5.88 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 5.89 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 6.12 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 6.12 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.35 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 6.35 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.59 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 6.59 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.40 6.99 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 7.00 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.33 7.33 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 7.33 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.36 7.69 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 7.70 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.31 8.01 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.09 0.00 8.01 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.22 8.23 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.06 0.00 8.23 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.20 0.32 8.55 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.20 0.01 8.56 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.37 8.92 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.17 0.00 8.92 ^ soc/core/clkbuf_5_30_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.26 9.19 ^ soc/core/clkbuf_5_30_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_30_0_core_clk (net) + 0.07 0.00 9.19 ^ soc/core/clkbuf_5_30_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.23 1.01 10.20 ^ soc/core/clkbuf_5_30_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.27 soc/core/clknet_5_30_1_core_clk (net) + 1.23 0.02 10.21 ^ soc/core/clkbuf_leaf_173_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.10 0.59 10.81 ^ soc/core/clkbuf_leaf_173_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 6 0.03 soc/core/clknet_leaf_173_core_clk (net) + 0.10 0.00 10.81 ^ soc/core/_38394_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.14 0.72 11.52 v soc/core/_38394_/Q (sky130_fd_sc_hd__dfxtp_4) + 9 0.05 soc/core/litespi_state[1] (net) + 0.14 0.00 11.53 v soc/core/_21775_/A (sky130_fd_sc_hd__and2_1) + 0.08 0.31 11.84 v soc/core/_21775_/X (sky130_fd_sc_hd__and2_1) + 1 0.00 soc/core/_10425_ (net) + 0.08 0.00 11.84 v soc/core/_21776_/A (sky130_fd_sc_hd__inv_2) + 0.11 0.12 11.96 ^ soc/core/_21776_/Y (sky130_fd_sc_hd__inv_2) + 3 0.01 soc/core/_10426_ (net) + 0.11 0.00 11.96 ^ soc/core/_21777_/A3 (sky130_fd_sc_hd__o311ai_1) + 0.13 0.19 12.15 v soc/core/_21777_/Y (sky130_fd_sc_hd__o311ai_1) + 1 0.00 soc/core/_10427_ (net) + 0.13 0.00 12.15 v soc/core/_21778_/C1 (sky130_fd_sc_hd__o211a_1) + 0.05 0.21 12.36 v soc/core/_21778_/X (sky130_fd_sc_hd__o211a_1) + 1 0.00 soc/core/_05862_ (net) + 0.05 0.00 12.36 v soc/core/_38396_/D (sky130_fd_sc_hd__dfxtp_4) + 12.36 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.01 10.13 ^ soc/core/clkbuf_4_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.39 10.52 ^ soc/core/clkbuf_4_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_13_0_core_clk (net) + 0.16 0.00 10.52 ^ soc/core/clkbuf_5_27_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.28 10.80 ^ soc/core/clkbuf_5_27_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_27_0_core_clk (net) + 0.07 0.00 10.80 ^ soc/core/clkbuf_5_27_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.42 1.26 12.06 ^ soc/core/clkbuf_5_27_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 36 0.31 soc/core/clknet_5_27_1_core_clk (net) + 1.42 0.03 12.10 ^ soc/core/clkbuf_leaf_172_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.16 0.78 12.87 ^ soc/core/clkbuf_leaf_172_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_172_core_clk (net) + 0.16 0.00 12.88 ^ soc/core/_38396_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.25 13.13 clock uncertainty + -1.48 11.65 clock reconvergence pessimism + -0.06 11.58 library hold time + 11.58 data required time +----------------------------------------------------------------------------- + 11.58 data required time + -12.36 data arrival time +----------------------------------------------------------------------------- + 0.78 slack (MET) + + +Startpoint: housekeeping/_9027_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9027_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 1.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 3.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 3.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 3.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 3.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 3.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 3.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 4.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 4.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 4.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 4.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 5.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 5.25 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 5.65 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 5.66 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.19 5.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 5.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.32 6.16 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 6.16 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.36 6.52 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.20 0.00 6.52 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.34 0.42 6.94 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net) + 0.34 0.00 6.94 ^ housekeeping/_9027_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.12 0.75 7.69 ^ housekeeping/_9027_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.01 housekeeping/net356 (net) + 0.12 0.00 7.69 ^ housekeeping/_6965_/B1 (sky130_fd_sc_hd__o22a_1) + 0.07 0.25 7.95 ^ housekeeping/_6965_/X (sky130_fd_sc_hd__o22a_1) + 1 0.00 housekeeping/_0277_ (net) + 0.07 0.00 7.95 ^ housekeeping/_9027_/D (sky130_fd_sc_hd__dfxtp_1) + 7.95 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.92 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 6.92 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.21 7.13 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 7.13 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.35 7.48 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 7.48 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.39 7.87 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.20 0.00 7.88 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.34 0.47 8.34 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net) + 0.34 0.00 8.34 ^ housekeeping/_9027_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 8.59 clock uncertainty + -1.40 7.19 clock reconvergence pessimism + -0.03 7.17 library hold time + 7.17 data required time +----------------------------------------------------------------------------- + 7.17 data required time + -7.95 data arrival time +----------------------------------------------------------------------------- + 0.78 slack (MET) + + +Startpoint: soc/core/_38764_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_34900_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 1.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 3.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 3.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 3.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 3.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 3.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 3.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 4.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 4.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 4.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 4.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 5.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 5.25 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 5.65 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 5.65 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 5.88 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.08 0.00 5.88 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.24 6.13 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.09 0.00 6.13 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.37 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.08 0.00 6.37 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.20 6.57 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.05 0.00 6.57 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.38 0.43 7.00 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.38 0.03 7.02 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.33 7.35 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_0_0_core_clk (net) + 0.05 0.00 7.35 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.71 0.65 8.00 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.15 soc/core/clknet_2_0_1_core_clk (net) + 0.71 0.03 8.03 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.45 8.48 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_0_core_clk (net) + 0.06 0.00 8.48 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 8.67 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_1_core_clk (net) + 0.05 0.00 8.67 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.26 8.94 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.02 soc/core/clknet_3_1_2_core_clk (net) + 0.13 0.00 8.94 ^ soc/core/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.32 9.26 ^ soc/core/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_3_0_core_clk (net) + 0.15 0.00 9.26 ^ soc/core/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.51 ^ soc/core/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_7_0_core_clk (net) + 0.07 0.00 9.51 ^ soc/core/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.81 0.73 10.25 ^ soc/core/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 22 0.18 soc/core/clknet_5_7_1_core_clk (net) + 0.81 0.02 10.27 ^ soc/core/clkbuf_leaf_115_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.17 0.56 10.83 ^ soc/core/clkbuf_leaf_115_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 32 0.09 soc/core/clknet_leaf_115_core_clk (net) + 0.17 0.00 10.83 ^ soc/core/_38764_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.23 0.81 11.64 v soc/core/_38764_/Q (sky130_fd_sc_hd__dfxtp_4) + 10 0.09 soc/core/VexRiscv.DebugPlugin_stepIt (net) + 0.24 0.03 11.67 v soc/core/_32916_/A0 (sky130_fd_sc_hd__mux2_4) + 0.18 0.66 12.33 v soc/core/_32916_/X (sky130_fd_sc_hd__mux2_4) + 2 0.05 soc/core/VexRiscv.debug_bus_rsp_data[4] (net) + 0.18 0.01 12.33 v soc/core/_34900_/D (sky130_fd_sc_hd__dfxtp_1) + 12.33 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.27 1.13 11.94 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.28 soc/core/clknet_5_25_1_core_clk (net) + 1.27 0.02 11.96 ^ soc/core/clkbuf_leaf_238_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.16 0.73 12.69 ^ soc/core/clkbuf_leaf_238_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 28 0.07 soc/core/clknet_leaf_238_core_clk (net) + 0.16 0.00 12.69 ^ soc/core/_34900_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 12.94 clock uncertainty + -1.26 11.68 clock reconvergence pessimism + -0.13 11.55 library hold time + 11.55 data required time +----------------------------------------------------------------------------- + 11.55 data required time + -12.33 data arrival time +----------------------------------------------------------------------------- + 0.78 slack (MET) + + +Startpoint: soc/core/_38328_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_38239_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 1.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 3.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 3.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 3.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 3.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 3.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 3.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 4.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 4.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 4.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 4.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 5.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 5.25 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 5.65 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 5.65 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 5.88 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 5.89 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 6.12 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 6.12 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.35 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 6.35 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.59 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 6.59 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.40 6.99 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 7.00 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.33 7.33 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 7.33 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.36 7.69 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 7.70 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.31 8.01 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.09 0.00 8.01 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.22 8.23 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.06 0.00 8.23 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.20 0.32 8.55 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.20 0.01 8.56 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.36 8.92 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.17 0.00 8.92 ^ soc/core/clkbuf_5_29_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.26 9.17 ^ soc/core/clkbuf_5_29_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_29_0_core_clk (net) + 0.06 0.00 9.17 ^ soc/core/clkbuf_5_29_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.10 0.93 10.10 ^ soc/core/clkbuf_5_29_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.24 soc/core/clknet_5_29_1_core_clk (net) + 1.10 0.01 10.12 ^ soc/core/clkbuf_leaf_212_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.15 0.62 10.74 ^ soc/core/clkbuf_leaf_212_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 28 0.07 soc/core/clknet_leaf_212_core_clk (net) + 0.15 0.00 10.74 ^ soc/core/_38328_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.11 0.63 11.37 v soc/core/_38328_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.01 soc/core/dbg_uart_tx_phase[16] (net) + 0.11 0.00 11.37 v soc/core/_22332_/C1 (sky130_fd_sc_hd__o211ai_2) + 0.10 0.12 11.49 ^ soc/core/_22332_/Y (sky130_fd_sc_hd__o211ai_2) + 1 0.01 soc/core/_10822_ (net) + 0.10 0.00 11.49 ^ soc/core/_22333_/A3 (sky130_fd_sc_hd__a31oi_1) + 0.12 0.17 11.66 v soc/core/_22333_/Y (sky130_fd_sc_hd__a31oi_1) + 1 0.00 soc/core/_05705_ (net) + 0.12 0.00 11.66 v soc/core/_38239_/D (sky130_fd_sc_hd__dfxtp_1) + 11.66 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.09 0.00 9.52 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.24 9.76 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.06 0.00 9.76 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.20 0.36 10.12 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.20 0.01 10.13 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.40 10.53 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.17 0.00 10.53 ^ soc/core/clkbuf_5_29_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.28 10.81 ^ soc/core/clkbuf_5_29_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_29_0_core_clk (net) + 0.06 0.00 10.81 ^ soc/core/clkbuf_5_29_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.10 1.03 11.84 ^ soc/core/clkbuf_5_29_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.24 soc/core/clknet_5_29_1_core_clk (net) + 1.10 0.01 11.85 ^ soc/core/clkbuf_leaf_212_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.15 0.69 12.53 ^ soc/core/clkbuf_leaf_212_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 28 0.07 soc/core/clknet_leaf_212_core_clk (net) + 0.15 0.00 12.54 ^ soc/core/_38239_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 12.79 clock uncertainty + -1.80 10.99 clock reconvergence pessimism + -0.10 10.88 library hold time + 10.88 data required time +----------------------------------------------------------------------------- + 10.88 data required time + -11.66 data arrival time +----------------------------------------------------------------------------- + 0.78 slack (MET) + + +Startpoint: soc/core/_39420_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_39421_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 1.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 3.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 3.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 3.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 3.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 3.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 3.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 4.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 4.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 4.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 4.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 5.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 5.25 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 5.65 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 5.65 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 5.88 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.08 0.00 5.88 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.24 6.13 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.09 0.00 6.13 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.37 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.08 0.00 6.37 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.20 6.57 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.05 0.00 6.57 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.38 0.43 7.00 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.38 0.03 7.02 ^ soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.33 7.35 ^ soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.06 0.00 7.35 ^ soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.35 7.70 ^ soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.24 0.00 7.70 ^ soc/core/clkbuf_3_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.32 8.02 ^ soc/core/clkbuf_3_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_3_0_core_clk (net) + 0.09 0.00 8.02 ^ soc/core/clkbuf_3_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.23 8.25 ^ soc/core/clkbuf_3_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_3_1_core_clk (net) + 0.07 0.00 8.25 ^ soc/core/clkbuf_3_3_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.23 0.35 8.60 ^ soc/core/clkbuf_3_3_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_3_3_2_core_clk (net) + 0.24 0.01 8.60 ^ soc/core/clkbuf_4_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.39 9.00 ^ soc/core/clkbuf_4_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_4_6_0_core_clk (net) + 0.19 0.00 9.00 ^ soc/core/clkbuf_5_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.27 9.27 ^ soc/core/clkbuf_5_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_12_0_core_clk (net) + 0.06 0.00 9.27 ^ soc/core/clkbuf_5_12_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.92 0.80 10.07 ^ soc/core/clkbuf_5_12_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 22 0.20 soc/core/clknet_5_12_1_core_clk (net) + 0.92 0.01 10.08 ^ soc/core/clkbuf_leaf_103_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.10 0.53 10.61 ^ soc/core/clkbuf_leaf_103_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 9 0.04 soc/core/clknet_leaf_103_core_clk (net) + 0.10 0.00 10.61 ^ soc/core/_39420_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.11 0.62 11.23 v soc/core/_39420_/Q (sky130_fd_sc_hd__dfxtp_1) + 3 0.01 soc/core/spi_master_miso_data[5] (net) + 0.11 0.00 11.23 v soc/core/_17811_/A (sky130_fd_sc_hd__nor2_1) + 0.18 0.21 11.44 ^ soc/core/_17811_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 soc/core/_07739_ (net) + 0.18 0.00 11.44 ^ soc/core/_17812_/C1 (sky130_fd_sc_hd__a211oi_1) + 0.07 0.11 11.55 v soc/core/_17812_/Y (sky130_fd_sc_hd__a211oi_1) + 1 0.00 soc/core/_06887_ (net) + 0.07 0.00 11.55 v soc/core/_39421_/D (sky130_fd_sc_hd__dfxtp_1) + 11.55 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.27 7.44 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.09 0.00 7.44 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.71 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.08 0.00 7.71 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.22 7.93 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.05 0.00 7.93 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.38 0.47 8.40 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.38 0.03 8.43 ^ soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.37 8.80 ^ soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.06 0.00 8.80 ^ soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.38 9.18 ^ soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.24 0.00 9.18 ^ soc/core/clkbuf_3_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.53 ^ soc/core/clkbuf_3_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_3_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.79 ^ soc/core/clkbuf_3_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_3_1_core_clk (net) + 0.07 0.00 9.79 ^ soc/core/clkbuf_3_3_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.23 0.38 10.17 ^ soc/core/clkbuf_3_3_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_3_3_2_core_clk (net) + 0.24 0.01 10.18 ^ soc/core/clkbuf_4_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.43 10.61 ^ soc/core/clkbuf_4_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_4_6_0_core_clk (net) + 0.19 0.00 10.61 ^ soc/core/clkbuf_5_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.30 10.91 ^ soc/core/clkbuf_5_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_12_0_core_clk (net) + 0.06 0.00 10.91 ^ soc/core/clkbuf_5_12_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.92 0.89 11.80 ^ soc/core/clkbuf_5_12_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 22 0.20 soc/core/clknet_5_12_1_core_clk (net) + 0.92 0.01 11.81 ^ soc/core/clkbuf_leaf_103_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.10 0.59 12.40 ^ soc/core/clkbuf_leaf_103_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 9 0.04 soc/core/clknet_leaf_103_core_clk (net) + 0.10 0.00 12.40 ^ soc/core/_39421_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 12.65 clock uncertainty + -1.79 10.86 clock reconvergence pessimism + -0.10 10.77 library hold time + 10.77 data required time +----------------------------------------------------------------------------- + 10.77 data required time + -11.55 data arrival time +----------------------------------------------------------------------------- + 0.78 slack (MET) + + +Startpoint: soc/core/_35291_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_36060_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 1.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 3.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 3.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 3.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 3.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 3.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 3.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 4.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 4.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 4.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 4.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 5.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 5.25 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 5.65 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 5.65 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 5.88 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 5.89 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 6.12 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 6.12 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.35 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 6.35 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.59 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 6.59 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.40 6.99 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 7.00 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.33 7.33 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.08 0.00 7.33 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.36 7.69 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.24 0.00 7.69 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.31 8.01 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_0_core_clk (net) + 0.09 0.00 8.01 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.23 8.24 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_1_core_clk (net) + 0.07 0.00 8.24 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.32 8.56 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_5_2_core_clk (net) + 0.19 0.00 8.56 ^ soc/core/clkbuf_4_11_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.18 0.37 8.93 ^ soc/core/clkbuf_4_11_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_11_0_core_clk (net) + 0.18 0.00 8.93 ^ soc/core/clkbuf_5_22_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.27 9.20 ^ soc/core/clkbuf_5_22_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_22_0_core_clk (net) + 0.07 0.00 9.20 ^ soc/core/clkbuf_5_22_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.82 0.73 9.93 ^ soc/core/clkbuf_5_22_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 22 0.18 soc/core/clknet_5_22_1_core_clk (net) + 0.82 0.03 9.96 ^ soc/core/clkbuf_leaf_275_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.10 0.51 10.47 ^ soc/core/clkbuf_leaf_275_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 10 0.04 soc/core/clknet_leaf_275_core_clk (net) + 0.10 0.00 10.47 ^ soc/core/_35291_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.07 0.58 11.05 v soc/core/_35291_/Q (sky130_fd_sc_hd__dfxtp_1) + 1 0.01 soc/core/VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[21] (net) + 0.07 0.00 11.05 v soc/core/_31535_/A (sky130_fd_sc_hd__inv_2) + 0.03 0.06 11.11 ^ soc/core/_31535_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/_01401_ (net) + 0.03 0.00 11.11 ^ soc/core/hold1523/A (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.24 0.25 11.36 ^ soc/core/hold1523/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 1 0.01 soc/core/net4372 (net) + 0.24 0.00 11.36 ^ soc/core/_33195_/A1 (sky130_fd_sc_hd__mux2_8) + 0.28 0.49 11.85 ^ soc/core/_33195_/X (sky130_fd_sc_hd__mux2_8) + 6 0.10 soc/core/_01403_ (net) + 0.29 0.04 11.89 ^ soc/core/_28879_/A2 (sky130_fd_sc_hd__o21ai_1) + 0.08 0.18 12.07 v soc/core/_28879_/Y (sky130_fd_sc_hd__o21ai_1) + 1 0.00 soc/core/_15175_ (net) + 0.08 0.00 12.07 v soc/core/_28880_/B1 (sky130_fd_sc_hd__o21a_1) + 0.05 0.18 12.24 v soc/core/_28880_/X (sky130_fd_sc_hd__o21a_1) + 1 0.00 soc/core/_03526_ (net) + 0.05 0.00 12.24 v soc/core/_36060_/D (sky130_fd_sc_hd__dfxtp_1) + 12.24 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.40 9.17 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.24 0.00 9.17 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.34 9.51 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.09 0.00 9.52 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.24 9.76 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.06 0.00 9.76 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.23 0.37 10.13 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_3_4_2_core_clk (net) + 0.23 0.01 10.14 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.40 10.54 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_8_0_core_clk (net) + 0.15 0.00 10.54 ^ soc/core/clkbuf_5_16_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.28 10.82 ^ soc/core/clkbuf_5_16_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_16_0_core_clk (net) + 0.07 0.00 10.82 ^ soc/core/clkbuf_5_16_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.32 1.19 12.01 ^ soc/core/clkbuf_5_16_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 36 0.29 soc/core/clknet_5_16_1_core_clk (net) + 1.32 0.02 12.03 ^ soc/core/clkbuf_leaf_347_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.15 0.74 12.77 ^ soc/core/clkbuf_leaf_347_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.06 soc/core/clknet_leaf_347_core_clk (net) + 0.15 0.00 12.77 ^ soc/core/_36060_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 13.02 clock uncertainty + -1.48 11.54 clock reconvergence pessimism + -0.08 11.46 library hold time + 11.46 data required time +----------------------------------------------------------------------------- + 11.46 data required time + -12.24 data arrival time +----------------------------------------------------------------------------- + 0.79 slack (MET) + + +Startpoint: soc/core/_39204_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_39204_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 1.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 3.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 3.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 3.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 3.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 3.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 3.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 4.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 4.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 4.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 4.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 5.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 5.25 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 5.65 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 5.65 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 5.88 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 5.89 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.23 6.12 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 6.12 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.35 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 6.35 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.24 6.59 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 6.59 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.40 6.99 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 7.00 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.33 7.33 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 7.33 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.36 7.69 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 7.70 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.31 8.01 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 8.01 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.23 8.24 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 8.24 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.32 8.56 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 8.56 ^ soc/core/clkbuf_4_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.35 8.91 ^ soc/core/clkbuf_4_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_13_0_core_clk (net) + 0.16 0.00 8.91 ^ soc/core/clkbuf_5_27_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.26 9.17 ^ soc/core/clkbuf_5_27_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_27_0_core_clk (net) + 0.07 0.00 9.17 ^ soc/core/clkbuf_5_27_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.42 1.14 10.31 ^ soc/core/clkbuf_5_27_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 36 0.31 soc/core/clknet_5_27_1_core_clk (net) + 1.42 0.03 10.34 ^ soc/core/clkbuf_leaf_176_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.15 0.69 11.03 ^ soc/core/clkbuf_leaf_176_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.06 soc/core/clknet_leaf_176_core_clk (net) + 0.15 0.00 11.03 ^ soc/core/_39204_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.15 0.66 11.70 v soc/core/_39204_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.02 soc/core/la_oe_storage[117] (net) + 0.15 0.00 11.70 v soc/core/_19086_/A (sky130_fd_sc_hd__inv_2) + 0.12 0.15 11.85 ^ soc/core/_19086_/Y (sky130_fd_sc_hd__inv_2) + 2 0.01 soc/core/net399 (net) + 0.12 0.00 11.85 ^ soc/core/_19089_/A1 (sky130_fd_sc_hd__a211oi_1) + 0.07 0.13 11.98 v soc/core/_19089_/Y (sky130_fd_sc_hd__a211oi_1) + 1 0.00 soc/core/_06670_ (net) + 0.07 0.00 11.98 v soc/core/_39204_/D (sky130_fd_sc_hd__dfxtp_1) + 11.98 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.01 10.13 ^ soc/core/clkbuf_4_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.16 0.39 10.52 ^ soc/core/clkbuf_4_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_13_0_core_clk (net) + 0.16 0.00 10.52 ^ soc/core/clkbuf_5_27_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.28 10.80 ^ soc/core/clkbuf_5_27_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_27_0_core_clk (net) + 0.07 0.00 10.80 ^ soc/core/clkbuf_5_27_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.42 1.26 12.06 ^ soc/core/clkbuf_5_27_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 36 0.31 soc/core/clknet_5_27_1_core_clk (net) + 1.42 0.04 12.10 ^ soc/core/clkbuf_leaf_176_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.15 0.76 12.86 ^ soc/core/clkbuf_leaf_176_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.06 soc/core/clknet_leaf_176_core_clk (net) + 0.15 0.00 12.86 ^ soc/core/_39204_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 13.11 clock uncertainty + -1.83 11.28 clock reconvergence pessimism + -0.09 11.20 library hold time + 11.20 data required time +----------------------------------------------------------------------------- + 11.20 data required time + -11.98 data arrival time +----------------------------------------------------------------------------- + 0.79 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9661_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 2.12 14.62 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.17 2.61 17.23 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.17 0.00 17.23 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.30 17.53 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 17.53 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 17.69 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 17.70 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.66 18.35 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 18.36 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.94 19.29 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 19.30 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.14 19.44 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 19.45 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.73 20.18 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 20.19 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.13 20.31 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 20.32 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.28 20.59 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 20.59 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.40 20.99 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.51 0.16 21.15 ^ housekeeping/_9661_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 21.15 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 30.65 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 30.66 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.19 30.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 30.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.32 31.16 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 31.16 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.36 31.52 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.20 0.00 31.52 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.62 0.61 32.13 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.07 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.62 0.01 32.14 ^ housekeeping/_9661_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 31.89 clock uncertainty + 1.05 32.94 clock reconvergence pessimism + 0.49 33.42 library recovery time + 33.42 data required time +----------------------------------------------------------------------------- + 33.42 data required time + -21.15 data arrival time +----------------------------------------------------------------------------- + 12.28 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9662_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 2.12 14.62 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.17 2.61 17.23 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.17 0.00 17.23 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.30 17.53 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 17.53 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 17.69 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 17.70 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.66 18.35 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 18.36 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.94 19.29 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 19.30 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.14 19.44 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 19.45 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.73 20.18 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 20.19 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.13 20.31 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 20.32 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.28 20.59 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 20.59 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.40 20.99 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.51 0.16 21.15 ^ housekeeping/_9662_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 21.15 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 30.65 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 30.66 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.19 30.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 30.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.32 31.16 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 31.16 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.36 31.52 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.20 0.00 31.52 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.62 0.61 32.13 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.07 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.62 0.01 32.14 ^ housekeeping/_9662_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 31.89 clock uncertainty + 1.05 32.94 clock reconvergence pessimism + 0.49 33.42 library recovery time + 33.42 data required time +----------------------------------------------------------------------------- + 33.42 data required time + -21.15 data arrival time +----------------------------------------------------------------------------- + 12.28 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9660_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 2.12 14.62 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.17 2.61 17.23 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.17 0.00 17.23 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.30 17.53 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 17.53 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 17.69 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 17.70 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.66 18.35 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 18.36 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.94 19.29 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 19.30 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.14 19.44 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 19.45 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.73 20.18 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 20.19 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.13 20.31 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 20.32 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.28 20.59 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 20.59 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.40 20.99 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.51 0.16 21.15 ^ housekeeping/_9660_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 21.15 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 30.65 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 30.66 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.19 30.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 30.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.32 31.16 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 31.16 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.36 31.52 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.20 0.00 31.52 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.62 0.61 32.13 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.07 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.62 0.01 32.14 ^ housekeeping/_9660_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 31.89 clock uncertainty + 1.05 32.94 clock reconvergence pessimism + 0.49 33.42 library recovery time + 33.42 data required time +----------------------------------------------------------------------------- + 33.42 data required time + -21.15 data arrival time +----------------------------------------------------------------------------- + 12.28 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9663_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 2.12 14.62 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.17 2.61 17.23 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.17 0.00 17.23 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.30 17.53 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 17.53 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 17.69 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 17.70 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.66 18.35 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 18.36 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.94 19.29 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 19.30 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.14 19.44 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 19.45 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.73 20.18 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 20.19 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.13 20.31 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 20.32 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.28 20.59 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 20.59 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.40 20.99 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.51 0.16 21.15 ^ housekeeping/_9663_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 21.15 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 30.65 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 30.66 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.19 30.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 30.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.32 31.16 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 31.16 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.36 31.52 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.20 0.00 31.52 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.62 0.61 32.13 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.07 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.62 0.01 32.14 ^ housekeeping/_9663_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 31.89 clock uncertainty + 1.05 32.94 clock reconvergence pessimism + 0.49 33.42 library recovery time + 33.42 data required time +----------------------------------------------------------------------------- + 33.42 data required time + -21.15 data arrival time +----------------------------------------------------------------------------- + 12.28 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9664_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 2.12 14.62 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.17 2.61 17.23 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.17 0.00 17.23 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.30 17.53 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 17.53 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 17.69 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 17.70 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.66 18.35 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 18.36 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.94 19.29 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 19.30 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.14 19.44 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 19.45 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.73 20.18 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 20.19 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.13 20.31 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 20.32 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.28 20.59 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 20.59 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.40 20.99 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.51 0.16 21.15 ^ housekeeping/_9664_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 21.15 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 30.65 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 30.66 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.19 30.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 30.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.32 31.16 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 31.16 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.36 31.52 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.20 0.00 31.52 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.62 0.61 32.13 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.07 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.62 0.01 32.14 ^ housekeeping/_9664_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 31.89 clock uncertainty + 1.05 32.94 clock reconvergence pessimism + 0.49 33.42 library recovery time + 33.42 data required time +----------------------------------------------------------------------------- + 33.42 data required time + -21.15 data arrival time +----------------------------------------------------------------------------- + 12.28 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9065_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 2.12 14.62 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.17 2.61 17.23 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.17 0.00 17.23 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.30 17.53 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 17.53 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 17.69 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 17.70 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.66 18.35 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 18.36 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.94 19.29 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 19.30 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.14 19.44 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 19.45 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.73 20.18 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 20.19 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.13 20.31 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 20.32 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.28 20.59 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 20.59 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.40 20.99 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.50 0.15 21.14 ^ housekeeping/_9065_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 21.14 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 30.65 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 30.66 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.19 30.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 30.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.32 31.16 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 31.16 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.36 31.52 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.20 0.00 31.52 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.62 0.61 32.13 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.07 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.62 0.00 32.13 ^ housekeeping/_9065_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 31.88 clock uncertainty + 1.05 32.93 clock reconvergence pessimism + 0.49 33.42 library recovery time + 33.42 data required time +----------------------------------------------------------------------------- + 33.42 data required time + -21.14 data arrival time +----------------------------------------------------------------------------- + 12.28 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9059_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 2.12 14.62 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.17 2.61 17.23 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.17 0.00 17.23 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.30 17.53 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 17.53 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 17.69 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 17.70 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.66 18.35 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 18.36 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.94 19.29 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 19.30 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.14 19.44 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 19.45 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.73 20.18 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 20.19 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.13 20.31 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 20.32 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.28 20.59 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 20.59 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.40 20.99 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.50 0.15 21.14 ^ housekeeping/_9059_/SET_B (sky130_fd_sc_hd__dfstp_1) + 21.14 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 30.65 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 30.66 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.19 30.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 30.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.32 31.16 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 31.16 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.36 31.52 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.20 0.00 31.52 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.77 0.71 32.23 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.77 0.00 32.23 ^ housekeeping/_9059_/CLK (sky130_fd_sc_hd__dfstp_1) + -0.25 31.98 clock uncertainty + 1.05 33.03 clock reconvergence pessimism + 0.42 33.45 library recovery time + 33.45 data required time +----------------------------------------------------------------------------- + 33.45 data required time + -21.14 data arrival time +----------------------------------------------------------------------------- + 12.31 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9678_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 2.12 14.62 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.17 2.61 17.23 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.17 0.00 17.23 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.30 17.53 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 17.53 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 17.69 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 17.70 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.66 18.35 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 18.36 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.94 19.29 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 19.30 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.14 19.44 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 19.45 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.73 20.18 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 20.19 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.13 20.31 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 20.32 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.28 20.59 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 20.59 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.40 20.99 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.45 0.09 21.08 ^ housekeeping/_9678_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 21.08 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 30.65 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 30.66 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.08 0.19 30.85 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.08 0.00 30.85 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.24 0.30 31.15 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.24 0.00 31.16 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.38 31.53 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.25 0.00 31.53 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.58 0.60 32.13 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.58 0.01 32.14 ^ housekeeping/_9678_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 31.89 clock uncertainty + 1.05 32.94 clock reconvergence pessimism + 0.50 33.44 library recovery time + 33.44 data required time +----------------------------------------------------------------------------- + 33.44 data required time + -21.08 data arrival time +----------------------------------------------------------------------------- + 12.35 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9675_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 2.12 14.62 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.17 2.61 17.23 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.17 0.00 17.23 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.30 17.53 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 17.53 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 17.69 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 17.70 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.66 18.35 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 18.36 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.94 19.29 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 19.30 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.14 19.44 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 19.45 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.73 20.18 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 20.19 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.13 20.31 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 20.32 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.28 20.59 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 20.59 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.40 20.99 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.44 0.09 21.08 ^ housekeeping/_9675_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 21.08 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 30.65 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 30.66 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.08 0.19 30.85 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.08 0.00 30.85 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.24 0.30 31.15 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.24 0.00 31.16 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.38 31.53 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.25 0.00 31.53 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.58 0.60 32.13 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.58 0.01 32.14 ^ housekeeping/_9675_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 31.89 clock uncertainty + 1.05 32.94 clock reconvergence pessimism + 0.50 33.44 library recovery time + 33.44 data required time +----------------------------------------------------------------------------- + 33.44 data required time + -21.08 data arrival time +----------------------------------------------------------------------------- + 12.36 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9677_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 2.12 14.62 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.17 2.61 17.23 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.17 0.00 17.23 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.30 17.53 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 17.53 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 17.69 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 17.70 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.66 18.35 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 18.36 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.94 19.29 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 19.30 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.14 19.44 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 19.45 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.73 20.18 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 20.19 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.13 20.31 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 20.32 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.28 20.59 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 20.59 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.40 20.99 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.44 0.09 21.08 ^ housekeeping/_9677_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 21.08 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 30.65 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 30.66 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.08 0.19 30.85 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.08 0.00 30.85 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.24 0.30 31.15 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.24 0.00 31.16 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.38 31.53 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.25 0.00 31.53 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.58 0.60 32.13 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.58 0.01 32.14 ^ housekeeping/_9677_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 31.89 clock uncertainty + 1.05 32.94 clock reconvergence pessimism + 0.50 33.44 library recovery time + 33.44 data required time +----------------------------------------------------------------------------- + 33.44 data required time + -21.08 data arrival time +----------------------------------------------------------------------------- + 12.36 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9679_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 2.12 14.62 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.17 2.61 17.23 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.17 0.00 17.23 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.30 17.53 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 17.53 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 17.69 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 17.70 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.66 18.35 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 18.36 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.94 19.29 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 19.30 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.14 19.44 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 19.45 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.73 20.18 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 20.19 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.13 20.31 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 20.32 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.28 20.59 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 20.59 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.40 20.99 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.44 0.09 21.07 ^ housekeeping/_9679_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 21.07 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 30.65 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 30.66 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.08 0.19 30.85 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.08 0.00 30.85 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.24 0.30 31.15 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.24 0.00 31.16 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.38 31.53 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.25 0.00 31.53 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.58 0.60 32.13 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.58 0.01 32.14 ^ housekeeping/_9679_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 31.89 clock uncertainty + 1.05 32.94 clock reconvergence pessimism + 0.50 33.44 library recovery time + 33.44 data required time +----------------------------------------------------------------------------- + 33.44 data required time + -21.07 data arrival time +----------------------------------------------------------------------------- + 12.36 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9681_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 2.12 14.62 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.17 2.61 17.23 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.17 0.00 17.23 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.30 17.53 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 17.53 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 17.69 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 17.70 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.66 18.35 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 18.36 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.94 19.29 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 19.30 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.14 19.44 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 19.45 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.73 20.18 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 20.19 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.13 20.31 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 20.32 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.28 20.59 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 20.59 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.40 20.99 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.44 0.08 21.07 ^ housekeeping/_9681_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 21.07 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 30.65 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 30.66 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.08 0.19 30.85 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.08 0.00 30.85 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.24 0.30 31.15 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.24 0.00 31.16 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.38 31.53 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.25 0.00 31.53 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.58 0.60 32.13 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.58 0.01 32.14 ^ housekeeping/_9681_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 31.89 clock uncertainty + 1.05 32.94 clock reconvergence pessimism + 0.50 33.44 library recovery time + 33.44 data required time +----------------------------------------------------------------------------- + 33.44 data required time + -21.07 data arrival time +----------------------------------------------------------------------------- + 12.36 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9067_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 2.12 14.62 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.17 2.61 17.23 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.17 0.00 17.23 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.30 17.53 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 17.53 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 17.69 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 17.70 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.66 18.35 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 18.36 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.94 19.29 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 19.30 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.14 19.44 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 19.45 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.73 20.18 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 20.19 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.13 20.31 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 20.32 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.28 20.59 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 20.59 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.40 20.99 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.50 0.16 21.14 ^ housekeeping/_9067_/RESET_B (sky130_fd_sc_hd__dfrtp_4) + 21.14 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 30.65 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 30.66 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.19 30.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 30.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.32 31.16 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 31.16 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.36 31.52 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.20 0.00 31.52 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.77 0.71 32.23 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.77 0.00 32.23 ^ housekeeping/_9067_/CLK (sky130_fd_sc_hd__dfrtp_4) + -0.25 31.98 clock uncertainty + 1.05 33.03 clock reconvergence pessimism + 0.48 33.51 library recovery time + 33.51 data required time +----------------------------------------------------------------------------- + 33.51 data required time + -21.14 data arrival time +----------------------------------------------------------------------------- + 12.37 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9066_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 2.12 14.62 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.17 2.61 17.23 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.17 0.00 17.23 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.30 17.53 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 17.53 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 17.69 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 17.70 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.66 18.35 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 18.36 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.94 19.29 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 19.30 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.14 19.44 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 19.45 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.73 20.18 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 20.19 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.13 20.31 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 20.32 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.28 20.59 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 20.59 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.40 20.99 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.50 0.15 21.14 ^ housekeeping/_9066_/RESET_B (sky130_fd_sc_hd__dfrtp_4) + 21.14 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 30.65 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 30.66 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.19 30.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 30.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.32 31.16 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 31.16 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.36 31.52 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.20 0.00 31.52 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.77 0.71 32.23 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.77 0.00 32.23 ^ housekeeping/_9066_/CLK (sky130_fd_sc_hd__dfrtp_4) + -0.25 31.98 clock uncertainty + 1.05 33.03 clock reconvergence pessimism + 0.48 33.51 library recovery time + 33.51 data required time +----------------------------------------------------------------------------- + 33.51 data required time + -21.14 data arrival time +----------------------------------------------------------------------------- + 12.37 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9068_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 2.12 14.62 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.17 2.61 17.23 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.17 0.00 17.23 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.30 17.53 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 17.53 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 17.69 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 17.70 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.66 18.35 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 18.36 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.94 19.29 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 19.30 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.14 19.44 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 19.45 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.73 20.18 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 20.19 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.13 20.31 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 20.32 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.28 20.59 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 20.59 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.40 20.99 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.50 0.15 21.14 ^ housekeeping/_9068_/RESET_B (sky130_fd_sc_hd__dfrtp_4) + 21.14 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 30.65 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 30.66 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.19 30.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 30.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.32 31.16 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 31.16 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.36 31.52 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.20 0.00 31.52 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.77 0.71 32.23 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.77 0.00 32.23 ^ housekeeping/_9068_/CLK (sky130_fd_sc_hd__dfrtp_4) + -0.25 31.98 clock uncertainty + 1.05 33.03 clock reconvergence pessimism + 0.48 33.51 library recovery time + 33.51 data required time +----------------------------------------------------------------------------- + 33.51 data required time + -21.14 data arrival time +----------------------------------------------------------------------------- + 12.37 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9676_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 2.12 14.62 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.17 2.61 17.23 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.17 0.00 17.23 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.30 17.53 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 17.53 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 17.69 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 17.70 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.66 18.35 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 18.36 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.94 19.29 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 19.30 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.14 19.44 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 19.45 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.73 20.18 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 20.19 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.13 20.31 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 20.32 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.28 20.59 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 20.59 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.40 20.99 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.44 0.08 21.07 ^ housekeeping/_9676_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 21.07 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 30.65 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 30.66 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.08 0.19 30.85 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.08 0.00 30.85 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.24 0.30 31.15 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.24 0.00 31.16 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.38 31.53 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.25 0.00 31.53 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.58 0.60 32.13 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.58 0.01 32.14 ^ housekeeping/_9676_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 31.89 clock uncertainty + 1.05 32.94 clock reconvergence pessimism + 0.50 33.44 library recovery time + 33.44 data required time +----------------------------------------------------------------------------- + 33.44 data required time + -21.07 data arrival time +----------------------------------------------------------------------------- + 12.37 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9119_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 2.12 14.62 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.17 2.61 17.23 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.17 0.00 17.23 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.30 17.53 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 17.53 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 17.69 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 17.70 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.66 18.35 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 18.36 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.94 19.29 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 19.30 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.14 19.44 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 19.45 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.73 20.18 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 20.19 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.13 20.31 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 20.32 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.28 20.59 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 20.59 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.40 20.99 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.50 0.15 21.14 ^ housekeeping/_9119_/RESET_B (sky130_fd_sc_hd__dfrtp_4) + 21.14 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 30.65 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 30.66 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.19 30.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 30.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.32 31.16 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 31.16 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.36 31.52 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.20 0.00 31.52 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.77 0.71 32.23 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.77 0.00 32.23 ^ housekeeping/_9119_/CLK (sky130_fd_sc_hd__dfrtp_4) + -0.25 31.98 clock uncertainty + 1.05 33.03 clock reconvergence pessimism + 0.48 33.51 library recovery time + 33.51 data required time +----------------------------------------------------------------------------- + 33.51 data required time + -21.14 data arrival time +----------------------------------------------------------------------------- + 12.37 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9680_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 2.12 14.62 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.17 2.61 17.23 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.17 0.00 17.23 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.30 17.53 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 17.53 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 17.69 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 17.70 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.66 18.35 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 18.36 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.94 19.29 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 19.30 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.14 19.44 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 19.45 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.73 20.18 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 20.19 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.13 20.31 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 20.32 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.28 20.59 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 20.59 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.40 20.99 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.44 0.08 21.07 ^ housekeeping/_9680_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 21.07 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 30.65 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 30.66 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.08 0.19 30.85 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.08 0.00 30.85 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.24 0.30 31.15 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.24 0.00 31.16 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.38 31.53 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.25 0.00 31.53 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.58 0.60 32.13 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.58 0.01 32.14 ^ housekeeping/_9680_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 31.89 clock uncertainty + 1.05 32.94 clock reconvergence pessimism + 0.50 33.44 library recovery time + 33.44 data required time +----------------------------------------------------------------------------- + 33.44 data required time + -21.07 data arrival time +----------------------------------------------------------------------------- + 12.37 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9587_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 2.12 14.62 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.17 2.61 17.23 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.17 0.00 17.23 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.30 17.53 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 17.53 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 17.69 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 17.70 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.66 18.35 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 18.36 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.94 19.29 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 19.30 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.14 19.44 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 19.45 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.73 20.18 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 20.19 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.13 20.31 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 20.32 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.28 20.59 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 20.59 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.40 20.99 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.49 0.14 21.13 ^ housekeeping/_9587_/RESET_B (sky130_fd_sc_hd__dfrtp_4) + 21.13 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 30.65 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 30.66 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.19 30.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 30.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.32 31.16 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 31.16 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.36 31.52 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.20 0.00 31.52 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.77 0.71 32.23 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.77 0.01 32.23 ^ housekeeping/_9587_/CLK (sky130_fd_sc_hd__dfrtp_4) + -0.25 31.98 clock uncertainty + 1.05 33.03 clock reconvergence pessimism + 0.49 33.52 library recovery time + 33.52 data required time +----------------------------------------------------------------------------- + 33.52 data required time + -21.13 data arrival time +----------------------------------------------------------------------------- + 12.38 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9759_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 2.12 14.62 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.17 2.61 17.23 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.17 0.00 17.23 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.30 17.53 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 17.53 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 17.69 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 17.70 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.66 18.35 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 18.36 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.94 19.29 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 19.30 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.14 19.44 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 19.45 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.73 20.18 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 20.19 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.13 20.31 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 20.32 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.28 20.59 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 20.59 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.40 20.99 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.49 0.14 21.13 ^ housekeeping/_9759_/RESET_B (sky130_fd_sc_hd__dfrtp_4) + 21.13 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 30.65 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 30.66 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.19 30.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 30.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.32 31.16 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 31.16 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.36 31.52 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.20 0.00 31.52 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.77 0.71 32.23 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.77 0.01 32.23 ^ housekeeping/_9759_/CLK (sky130_fd_sc_hd__dfrtp_4) + -0.25 31.98 clock uncertainty + 1.05 33.03 clock reconvergence pessimism + 0.49 33.52 library recovery time + 33.52 data required time +----------------------------------------------------------------------------- + 33.52 data required time + -21.13 data arrival time +----------------------------------------------------------------------------- + 12.39 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9640_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 2.12 14.62 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.17 2.61 17.23 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.17 0.00 17.23 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.30 17.53 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 17.53 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 17.69 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 17.70 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.66 18.35 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 18.36 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.94 19.29 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 19.30 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.14 19.44 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 19.45 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.73 20.18 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 20.19 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.13 20.31 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 20.32 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.28 20.59 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 20.59 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.40 20.99 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.49 0.14 21.13 ^ housekeeping/_9640_/RESET_B (sky130_fd_sc_hd__dfrtp_2) + 21.13 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 30.65 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 30.66 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.19 30.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 30.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.32 31.16 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 31.16 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.36 31.52 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.20 0.00 31.52 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.77 0.71 32.23 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.77 0.01 32.23 ^ housekeeping/_9640_/CLK (sky130_fd_sc_hd__dfrtp_2) + -0.25 31.98 clock uncertainty + 1.05 33.03 clock reconvergence pessimism + 0.51 33.54 library recovery time + 33.54 data required time +----------------------------------------------------------------------------- + 33.54 data required time + -21.13 data arrival time +----------------------------------------------------------------------------- + 12.41 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9659_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 2.12 14.62 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.17 2.61 17.23 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.17 0.00 17.23 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.30 17.53 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 17.53 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 17.69 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 17.70 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.66 18.35 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 18.36 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.94 19.29 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 19.30 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.14 19.44 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 19.45 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.73 20.18 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 20.19 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.13 20.31 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 20.32 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.28 20.59 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 20.59 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.40 20.99 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.51 0.16 21.15 ^ housekeeping/_9659_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 21.15 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 30.65 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 30.66 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.19 30.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 30.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.32 31.16 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 31.16 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.36 31.52 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.20 0.00 31.52 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.77 0.71 32.23 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.77 0.00 32.23 ^ housekeeping/_9659_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 31.98 clock uncertainty + 1.05 33.03 clock reconvergence pessimism + 0.54 33.57 library recovery time + 33.57 data required time +----------------------------------------------------------------------------- + 33.57 data required time + -21.15 data arrival time +----------------------------------------------------------------------------- + 12.42 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9665_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 2.12 14.62 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.17 2.61 17.23 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.17 0.00 17.23 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.30 17.53 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 17.53 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 17.69 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 17.70 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.66 18.35 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 18.36 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.94 19.29 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 19.30 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.14 19.44 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 19.45 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.73 20.18 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 20.19 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.13 20.31 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 20.32 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.28 20.59 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 20.59 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.40 20.99 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.51 0.16 21.15 ^ housekeeping/_9665_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 21.15 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 30.65 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 30.66 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.19 30.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 30.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.32 31.16 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 31.16 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.36 31.52 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.20 0.00 31.52 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.77 0.71 32.23 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.77 0.00 32.23 ^ housekeeping/_9665_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 31.98 clock uncertainty + 1.05 33.03 clock reconvergence pessimism + 0.54 33.57 library recovery time + 33.57 data required time +----------------------------------------------------------------------------- + 33.57 data required time + -21.15 data arrival time +----------------------------------------------------------------------------- + 12.42 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9666_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 2.12 14.62 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.17 2.61 17.23 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.17 0.00 17.23 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.30 17.53 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 17.53 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 17.69 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 17.70 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.66 18.35 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 18.36 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.94 19.29 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 19.30 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.14 19.44 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 19.45 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.73 20.18 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 20.19 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.13 20.31 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 20.32 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.28 20.59 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 20.59 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.40 20.99 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.50 0.16 21.15 ^ housekeeping/_9666_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 21.15 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 30.65 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 30.66 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.19 30.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 30.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.32 31.16 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 31.16 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.36 31.52 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.20 0.00 31.52 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.77 0.71 32.23 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.77 0.00 32.23 ^ housekeeping/_9666_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 31.98 clock uncertainty + 1.05 33.03 clock reconvergence pessimism + 0.54 33.57 library recovery time + 33.57 data required time +----------------------------------------------------------------------------- + 33.57 data required time + -21.15 data arrival time +----------------------------------------------------------------------------- + 12.42 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9064_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 2.12 14.62 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.17 2.61 17.23 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.17 0.00 17.23 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.30 17.53 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 17.53 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 17.69 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 17.70 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.66 18.35 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 18.36 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.94 19.29 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 19.30 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.14 19.44 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 19.45 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.73 20.18 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 20.19 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.13 20.31 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 20.32 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.28 20.59 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 20.59 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.40 20.99 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.50 0.16 21.14 ^ housekeeping/_9064_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 21.14 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 30.65 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 30.66 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.19 30.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 30.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.32 31.16 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 31.16 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.36 31.52 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.20 0.00 31.52 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.77 0.71 32.23 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.77 0.00 32.23 ^ housekeeping/_9064_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 31.98 clock uncertainty + 1.05 33.03 clock reconvergence pessimism + 0.54 33.57 library recovery time + 33.57 data required time +----------------------------------------------------------------------------- + 33.57 data required time + -21.14 data arrival time +----------------------------------------------------------------------------- + 12.43 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9063_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 2.12 14.62 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.17 2.61 17.23 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.17 0.00 17.23 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.30 17.53 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 17.53 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 17.69 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 17.70 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.66 18.35 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 18.36 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.94 19.29 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 19.30 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.14 19.44 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 19.45 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.73 20.18 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 20.19 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.13 20.31 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 20.32 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.28 20.59 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 20.59 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.40 20.99 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.50 0.15 21.14 ^ housekeeping/_9063_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 21.14 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 30.65 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 30.66 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.19 30.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 30.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.32 31.16 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 31.16 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.36 31.52 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.20 0.00 31.52 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.77 0.71 32.23 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.77 0.00 32.23 ^ housekeeping/_9063_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 31.98 clock uncertainty + 1.05 33.03 clock reconvergence pessimism + 0.54 33.57 library recovery time + 33.57 data required time +----------------------------------------------------------------------------- + 33.57 data required time + -21.14 data arrival time +----------------------------------------------------------------------------- + 12.43 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9061_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 2.12 14.62 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.17 2.61 17.23 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.17 0.00 17.23 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.30 17.53 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 17.53 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 17.69 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 17.70 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.66 18.35 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 18.36 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.94 19.29 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 19.30 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.14 19.44 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 19.45 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.73 20.18 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 20.19 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.13 20.31 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 20.32 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.28 20.59 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 20.59 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.40 20.99 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.50 0.15 21.14 ^ housekeeping/_9061_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 21.14 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 30.65 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 30.66 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.19 30.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 30.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.32 31.16 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 31.16 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.36 31.52 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.20 0.00 31.52 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.77 0.71 32.23 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.77 0.00 32.23 ^ housekeeping/_9061_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 31.98 clock uncertainty + 1.05 33.03 clock reconvergence pessimism + 0.54 33.57 library recovery time + 33.57 data required time +----------------------------------------------------------------------------- + 33.57 data required time + -21.14 data arrival time +----------------------------------------------------------------------------- + 12.43 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9060_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 2.12 14.62 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.17 2.61 17.23 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.17 0.00 17.23 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.30 17.53 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 17.53 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 17.69 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 17.70 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.66 18.35 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 18.36 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.94 19.29 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 19.30 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.14 19.44 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 19.45 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.73 20.18 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 20.19 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.13 20.31 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 20.32 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.28 20.59 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 20.59 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.40 20.99 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.50 0.15 21.14 ^ housekeeping/_9060_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 21.14 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 30.65 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 30.66 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.19 30.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 30.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.32 31.16 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 31.16 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.36 31.52 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.20 0.00 31.52 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.77 0.71 32.23 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.77 0.00 32.23 ^ housekeeping/_9060_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 31.98 clock uncertainty + 1.05 33.03 clock reconvergence pessimism + 0.54 33.57 library recovery time + 33.57 data required time +----------------------------------------------------------------------------- + 33.57 data required time + -21.14 data arrival time +----------------------------------------------------------------------------- + 12.43 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9062_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.16 clock (net) + 0.00 2.12 14.62 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.17 2.61 17.23 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.17 0.00 17.23 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.04 0.30 17.53 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.04 0.00 17.53 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 17.69 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 17.70 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.12 0.66 18.35 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.12 0.00 18.36 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.33 0.94 19.29 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.33 0.01 19.30 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.07 0.14 19.44 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.07 0.00 19.45 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.05 0.73 20.18 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.05 0.00 20.19 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.11 0.13 20.31 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.11 0.00 20.32 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.14 0.28 20.59 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.14 0.00 20.59 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.41 0.40 20.99 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.24 housekeeping/net196 (net) + 0.49 0.15 21.14 ^ housekeeping/_9062_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 21.14 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 30.65 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 30.66 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.07 0.19 30.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.07 0.00 30.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.32 31.16 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.27 0.00 31.16 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.36 31.52 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.20 0.00 31.52 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.77 0.71 32.23 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.77 0.00 32.23 ^ housekeeping/_9062_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 31.98 clock uncertainty + 1.05 33.03 clock reconvergence pessimism + 0.54 33.57 library recovery time + 33.57 data required time +----------------------------------------------------------------------------- + 33.57 data required time + -21.14 data arrival time +----------------------------------------------------------------------------- + 12.44 slack (MET) + + +Startpoint: gpio_control_in_1a[2]/_214_ (falling edge-triggered flip-flop) +Endpoint: flash_clk (output port clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.06 0.00 0.00 v gpio_control_in_1a[2]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.11 0.93 0.93 ^ gpio_control_in_1a[2]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 2 0.01 gpio_control_in_1a[2]/net29 (net) + 0.11 0.00 0.93 ^ gpio_control_in_1a[2]/output29/A (sky130_fd_sc_hd__buf_2) + 0.07 0.22 1.16 ^ gpio_control_in_1a[2]/output29/X (sky130_fd_sc_hd__buf_2) + 1 0.01 mprj_io_inp_dis[4] (net) + 0.07 0.00 1.16 ^ padframe/mprj_pads.area1_io_pad[4]/INP_DIS (sky130_ef_io__gpiov2_pad_wrapped) + 0.16 15.68 16.84 v padframe/mprj_pads.area1_io_pad[4]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.00 mprj_io_in[4] (net) + 0.16 0.00 16.84 v gpio_control_in_1a[2]/input16/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.30 17.13 v gpio_control_in_1a[2]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 gpio_control_in_1a[2]/net16 (net) + 0.15 0.00 17.14 v gpio_control_in_1a[2]/_209_/A (sky130_fd_sc_hd__ebufn_8) + 0.10 0.36 17.49 v gpio_control_in_1a[2]/_209_/Z (sky130_fd_sc_hd__ebufn_8) + 3 0.03 mgmt_io_in[4] (net) + 0.10 0.00 17.49 v housekeeping/clkbuf_0_mgmt_gpio_in[4]/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.28 17.77 v housekeeping/clkbuf_0_mgmt_gpio_in[4]/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.02 housekeeping/clknet_0_mgmt_gpio_in[4] (net) + 0.05 0.00 17.77 v housekeeping/clkbuf_1_0_0_mgmt_gpio_in[4]/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.20 17.97 v housekeeping/clkbuf_1_0_0_mgmt_gpio_in[4]/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_mgmt_gpio_in[4] (net) + 0.06 0.00 17.97 v housekeeping/clkbuf_1_0_1_mgmt_gpio_in[4]/A (sky130_fd_sc_hd__clkbuf_2) + 0.19 0.31 18.28 v housekeeping/clkbuf_1_0_1_mgmt_gpio_in[4]/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_mgmt_gpio_in[4] (net) + 0.19 0.00 18.28 v housekeeping/clkbuf_2_0_0_mgmt_gpio_in[4]/A (sky130_fd_sc_hd__clkbuf_2) + 0.71 0.77 19.06 v housekeeping/clkbuf_2_0_0_mgmt_gpio_in[4]/X (sky130_fd_sc_hd__clkbuf_2) + 12 0.11 housekeeping/clknet_2_0_0_mgmt_gpio_in[4] (net) + 0.71 0.01 19.07 v housekeeping/_8837_/A1 (sky130_fd_sc_hd__mux2_1) + 0.13 1.01 20.08 v housekeeping/_8837_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 housekeeping/net250 (net) + 0.13 0.00 20.08 v housekeeping/output250/A (sky130_fd_sc_hd__clkbuf_1) + 0.41 0.49 20.56 v housekeeping/output250/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.04 flash_clk_frame (net) + 0.41 0.00 20.56 v padframe/flash_clk_pad/OUT (sky130_ef_io__gpiov2_pad_wrapped) + 225.63 125.38 145.94 v padframe/flash_clk_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 7 1.20 flash_clk (net) + 225.63 0.00 145.94 v flash_clk (out) + 145.94 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock network delay (propagated) + -0.25 24.75 clock uncertainty + 0.00 24.75 clock reconvergence pessimism + -5.00 19.75 output external delay + 19.75 data required time +----------------------------------------------------------------------------- + 19.75 data required time + -145.94 data arrival time +----------------------------------------------------------------------------- + -126.19 slack (VIOLATED) + + +Startpoint: gpio_control_in_1a[0]/_214_ (falling edge-triggered flip-flop) +Endpoint: flash_io0 (output port clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.06 0.00 0.00 v gpio_control_in_1a[0]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.11 0.93 0.93 ^ gpio_control_in_1a[0]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 2 0.01 gpio_control_in_1a[0]/net29 (net) + 0.11 0.00 0.93 ^ gpio_control_in_1a[0]/output29/A (sky130_fd_sc_hd__buf_2) + 0.07 0.22 1.16 ^ gpio_control_in_1a[0]/output29/X (sky130_fd_sc_hd__buf_2) + 1 0.01 mprj_io_inp_dis[2] (net) + 0.07 0.00 1.16 ^ padframe/mprj_pads.area1_io_pad[2]/INP_DIS (sky130_ef_io__gpiov2_pad_wrapped) + 0.16 15.68 16.84 v padframe/mprj_pads.area1_io_pad[2]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.00 mprj_io_in[2] (net) + 0.16 0.00 16.84 v gpio_control_in_1a[0]/input16/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.30 17.13 v gpio_control_in_1a[0]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 gpio_control_in_1a[0]/net16 (net) + 0.15 0.00 17.14 v gpio_control_in_1a[0]/_209_/A (sky130_fd_sc_hd__ebufn_8) + 0.10 0.36 17.49 v gpio_control_in_1a[0]/_209_/Z (sky130_fd_sc_hd__ebufn_8) + 3 0.03 mgmt_io_in[2] (net) + 0.10 0.00 17.49 v housekeeping/input58/A (sky130_fd_sc_hd__buf_12) + 0.21 0.38 17.87 v housekeeping/input58/X (sky130_fd_sc_hd__buf_12) + 11 0.23 housekeeping/net58 (net) + 0.22 0.03 17.90 v housekeeping/_8838_/A1 (sky130_fd_sc_hd__mux2_2) + 0.17 0.75 18.65 v housekeeping/_8838_/X (sky130_fd_sc_hd__mux2_2) + 1 0.02 housekeeping/net254 (net) + 0.17 0.00 18.65 v housekeeping/output254/A (sky130_fd_sc_hd__buf_2) + 0.18 0.42 19.07 v housekeeping/output254/X (sky130_fd_sc_hd__buf_2) + 1 0.04 flash_io0_do (net) + 0.18 0.00 19.07 v padframe/flash_io0_pad/OUT (sky130_ef_io__gpiov2_pad_wrapped) + 225.57 125.17 144.24 v padframe/flash_io0_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 7 1.20 flash_io0 (net) + 225.57 0.00 144.24 v flash_io0 (out) + 144.24 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock network delay (propagated) + -0.25 24.75 clock uncertainty + 0.00 24.75 clock reconvergence pessimism + -5.00 19.75 output external delay + 19.75 data required time +----------------------------------------------------------------------------- + 19.75 data required time + -144.24 data arrival time +----------------------------------------------------------------------------- + -124.49 slack (VIOLATED) + + +Startpoint: gpio_control_in_1a[1]/_214_ (falling edge-triggered flip-flop) +Endpoint: flash_csb (output port clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.06 0.00 0.00 v gpio_control_in_1a[1]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.11 0.93 0.93 ^ gpio_control_in_1a[1]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 2 0.01 gpio_control_in_1a[1]/net29 (net) + 0.11 0.00 0.93 ^ gpio_control_in_1a[1]/output29/A (sky130_fd_sc_hd__buf_2) + 0.07 0.22 1.16 ^ gpio_control_in_1a[1]/output29/X (sky130_fd_sc_hd__buf_2) + 1 0.01 mprj_io_inp_dis[3] (net) + 0.07 0.00 1.16 ^ padframe/mprj_pads.area1_io_pad[3]/INP_DIS (sky130_ef_io__gpiov2_pad_wrapped) + 0.16 15.68 16.84 v padframe/mprj_pads.area1_io_pad[3]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 2 0.00 mprj_io_in[3] (net) + 0.16 0.00 16.84 v gpio_control_in_1a[1]/input16/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.30 17.13 v gpio_control_in_1a[1]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 gpio_control_in_1a[1]/net16 (net) + 0.15 0.00 17.14 v gpio_control_in_1a[1]/_209_/A (sky130_fd_sc_hd__ebufn_8) + 0.10 0.36 17.49 v gpio_control_in_1a[1]/_209_/Z (sky130_fd_sc_hd__ebufn_8) + 3 0.03 mgmt_io_in[3] (net) + 0.10 0.00 17.49 v housekeeping/input67/A (sky130_fd_sc_hd__buf_12) + 0.16 0.31 17.80 v housekeeping/input67/X (sky130_fd_sc_hd__buf_12) + 5 0.17 housekeeping/net67 (net) + 0.16 0.01 17.81 v housekeeping/_8836_/A1 (sky130_fd_sc_hd__mux2_2) + 0.16 0.72 18.53 v housekeeping/_8836_/X (sky130_fd_sc_hd__mux2_2) + 1 0.02 housekeeping/net252 (net) + 0.16 0.00 18.53 v housekeeping/output252/A (sky130_fd_sc_hd__buf_2) + 0.18 0.42 18.95 v housekeeping/output252/X (sky130_fd_sc_hd__buf_2) + 1 0.04 flash_csb_frame (net) + 0.18 0.00 18.95 v padframe/flash_csb_pad/OUT (sky130_ef_io__gpiov2_pad_wrapped) + 225.57 125.17 144.12 v padframe/flash_csb_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 7 1.20 flash_csb (net) + 225.57 0.00 144.12 v flash_csb (out) + 144.12 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock network delay (propagated) + -0.25 24.75 clock uncertainty + 0.00 24.75 clock reconvergence pessimism + -5.00 19.75 output external delay + 19.75 data required time +----------------------------------------------------------------------------- + 19.75 data required time + -144.12 data arrival time +----------------------------------------------------------------------------- + -124.37 slack (VIOLATED) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9675_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.30 1.18 11.99 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 1.30 0.03 12.02 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.71 12.72 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.12 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.26 0.00 13.59 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.12 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.12 0.00 14.10 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.14 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.14 0.01 14.45 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.38 0.48 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.50 0.18 15.11 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 2.20 1.84 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 2.22 0.18 17.13 ^ soc/core/_33554_/S (sky130_fd_sc_hd__mux2_8) + 0.27 1.45 18.58 v soc/core/_33554_/X (sky130_fd_sc_hd__mux2_8) + 12 0.16 soc/core/_02133_ (net) + 0.28 0.04 18.62 v soc/core/_17321_/A (sky130_fd_sc_hd__inv_2) + 0.08 0.15 18.77 ^ soc/core/_17321_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net638 (net) + 0.08 0.00 18.77 ^ soc/core/repeater1831/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.19 18.96 ^ soc/core/repeater1831/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1831 (net) + 0.11 0.00 18.96 ^ soc/core/repeater1830/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 19.14 ^ soc/core/repeater1830/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1830 (net) + 0.08 0.00 19.14 ^ soc/core/repeater1829/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 19.31 ^ soc/core/repeater1829/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1829 (net) + 0.09 0.00 19.31 ^ soc/core/repeater1828/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 19.50 ^ soc/core/repeater1828/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1828 (net) + 0.09 0.00 19.50 ^ soc/core/repeater1827/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 19.67 ^ soc/core/repeater1827/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1827 (net) + 0.09 0.00 19.67 ^ soc/core/repeater1826/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 19.85 ^ soc/core/repeater1826/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1826 (net) + 0.09 0.00 19.85 ^ soc/core/repeater1825/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.19 20.04 ^ soc/core/repeater1825/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1825 (net) + 0.10 0.00 20.04 ^ soc/core/repeater1824/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 20.23 ^ soc/core/repeater1824/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1824 (net) + 0.09 0.00 20.23 ^ soc/core/repeater1823/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 20.41 ^ soc/core/repeater1823/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1823 (net) + 0.09 0.00 20.41 ^ soc/core/repeater1822/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.21 20.62 ^ soc/core/repeater1822/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1822 (net) + 0.13 0.00 20.62 ^ soc/core/repeater1820/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.20 20.82 ^ soc/core/repeater1820/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1820 (net) + 0.09 0.00 20.82 ^ soc/core/repeater1819/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 21.00 ^ soc/core/repeater1819/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1819 (net) + 0.09 0.00 21.00 ^ soc/core/repeater1818/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 21.16 ^ soc/core/repeater1818/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1818 (net) + 0.07 0.00 21.16 ^ soc/core/repeater1817/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 21.33 ^ soc/core/repeater1817/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1817 (net) + 0.09 0.00 21.33 ^ soc/core/repeater1816/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.50 ^ soc/core/repeater1816/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1816 (net) + 0.08 0.00 21.50 ^ soc/core/repeater1815/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.20 21.70 ^ soc/core/repeater1815/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1815 (net) + 0.11 0.00 21.70 ^ soc/core/repeater1814/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.17 21.87 ^ soc/core/repeater1814/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1814 (net) + 0.06 0.00 21.87 ^ soc/core/repeater1813/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 22.03 ^ soc/core/repeater1813/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1813 (net) + 0.08 0.00 22.03 ^ soc/core/repeater1812/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 22.20 ^ soc/core/repeater1812/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1812 (net) + 0.07 0.00 22.20 ^ soc/core/repeater1811/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.19 22.39 ^ soc/core/repeater1811/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1811 (net) + 0.11 0.00 22.39 ^ soc/core/repeater1810/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 22.57 ^ soc/core/repeater1810/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1810 (net) + 0.08 0.00 22.57 ^ soc/core/repeater1809/A (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.26 0.32 22.89 ^ soc/core/repeater1809/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 2 0.02 soc/core/net1809 (net) + 0.26 0.00 22.89 ^ soc/core/repeater1808/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.38 23.27 ^ soc/core/repeater1808/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1808 (net) + 0.26 0.00 23.27 ^ soc/core/repeater1807/A (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.27 0.41 23.67 ^ soc/core/repeater1807/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 1 0.02 soc/core/net1807 (net) + 0.27 0.00 23.68 ^ soc/core/repeater1806/A (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.27 0.41 24.09 ^ soc/core/repeater1806/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 1 0.02 soc/core/net1806 (net) + 0.27 0.00 24.09 ^ soc/core/repeater1805/A (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.25 0.40 24.49 ^ soc/core/repeater1805/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 1 0.01 soc/core/net1805 (net) + 0.25 0.00 24.49 ^ soc/core/repeater1804/A (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.33 0.45 24.93 ^ soc/core/repeater1804/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 1 0.02 soc/core/net1804 (net) + 0.33 0.00 24.94 ^ soc/core/repeater1803/A (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.27 0.43 25.37 ^ soc/core/repeater1803/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 2 0.02 soc/core/net1803 (net) + 0.27 0.00 25.37 ^ soc/core/repeater1802/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.24 25.61 ^ soc/core/repeater1802/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1802 (net) + 0.07 0.00 25.61 ^ soc/core/repeater1801/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 25.77 ^ soc/core/repeater1801/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1801 (net) + 0.07 0.00 25.77 ^ soc/core/repeater1800/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 25.94 ^ soc/core/repeater1800/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1800 (net) + 0.09 0.00 25.94 ^ soc/core/repeater1799/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 26.11 ^ soc/core/repeater1799/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1799 (net) + 0.08 0.00 26.11 ^ soc/core/repeater1798/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 26.29 ^ soc/core/repeater1798/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1798 (net) + 0.09 0.00 26.29 ^ soc/core/repeater1797/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 26.47 ^ soc/core/repeater1797/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1797 (net) + 0.08 0.00 26.47 ^ soc/core/repeater1796/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 26.63 ^ soc/core/repeater1796/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1796 (net) + 0.08 0.00 26.63 ^ soc/core/repeater1795/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 26.79 ^ soc/core/repeater1795/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1795 (net) + 0.07 0.00 26.79 ^ soc/core/repeater1794/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 26.95 ^ soc/core/repeater1794/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1794 (net) + 0.08 0.00 26.95 ^ soc/core/repeater1793/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.12 ^ soc/core/repeater1793/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1793 (net) + 0.07 0.00 27.12 ^ soc/core/repeater1792/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.28 ^ soc/core/repeater1792/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1792 (net) + 0.07 0.00 27.28 ^ soc/core/repeater1791/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 27.44 ^ soc/core/repeater1791/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1791 (net) + 0.08 0.00 27.44 ^ soc/core/repeater1790/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 27.61 ^ soc/core/repeater1790/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1790 (net) + 0.08 0.00 27.61 ^ soc/core/repeater1789/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 27.78 ^ soc/core/repeater1789/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1789 (net) + 0.08 0.00 27.78 ^ soc/core/repeater1788/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.94 ^ soc/core/repeater1788/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1788 (net) + 0.07 0.00 27.94 ^ soc/core/repeater1787/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 28.10 ^ soc/core/repeater1787/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1787 (net) + 0.08 0.00 28.10 ^ soc/core/_32524_/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.24 28.34 ^ soc/core/_32524_/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 soc/core/net705 (net) + 0.12 0.00 28.35 ^ soc/core/output705/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.29 28.64 ^ soc/core/output705/X (sky130_fd_sc_hd__clkbuf_4) + 3 0.01 mprj_adr_o_core[4] (net) + 0.09 0.00 28.64 ^ housekeeping/input157/A (sky130_fd_sc_hd__buf_4) + 0.23 0.36 28.99 ^ housekeeping/input157/X (sky130_fd_sc_hd__buf_4) + 7 0.05 housekeeping/net157 (net) + 0.23 0.01 29.00 ^ housekeeping/_7894_/B (sky130_fd_sc_hd__or4_1) + 0.10 0.29 29.29 ^ housekeeping/_7894_/X (sky130_fd_sc_hd__or4_1) + 1 0.01 housekeeping/_3525_ (net) + 0.10 0.00 29.29 ^ housekeeping/_7895_/A (sky130_fd_sc_hd__clkbuf_8) + 0.21 0.36 29.65 ^ housekeeping/_7895_/X (sky130_fd_sc_hd__clkbuf_8) + 12 0.07 housekeeping/_3526_ (net) + 0.21 0.00 29.65 ^ housekeeping/_8216_/B (sky130_fd_sc_hd__or2_2) + 0.19 0.37 30.02 ^ housekeeping/_8216_/X (sky130_fd_sc_hd__or2_2) + 3 0.02 housekeeping/_3846_ (net) + 0.19 0.00 30.02 ^ housekeeping/_8217_/D1 (sky130_fd_sc_hd__o2111ai_1) + 0.20 0.27 30.29 v housekeeping/_8217_/Y (sky130_fd_sc_hd__o2111ai_1) + 1 0.00 housekeeping/_3847_ (net) + 0.20 0.00 30.29 v housekeeping/_8220_/A3 (sky130_fd_sc_hd__o32a_2) + 0.20 0.82 31.11 v housekeeping/_8220_/X (sky130_fd_sc_hd__o32a_2) + 1 0.02 housekeeping/_3850_ (net) + 0.20 0.00 31.11 v housekeeping/_8224_/C (sky130_fd_sc_hd__or4b_2) + 0.28 1.68 32.79 v housekeeping/_8224_/X (sky130_fd_sc_hd__or4b_2) + 1 0.01 housekeeping/_3854_ (net) + 0.28 0.00 32.80 v housekeeping/_8227_/B (sky130_fd_sc_hd__or4_1) + 0.21 1.35 34.15 v housekeeping/_8227_/X (sky130_fd_sc_hd__or4_1) + 1 0.00 housekeeping/_3857_ (net) + 0.21 0.00 34.15 v housekeeping/_8231_/A (sky130_fd_sc_hd__or4_1) + 0.20 1.35 35.50 v housekeeping/_8231_/X (sky130_fd_sc_hd__or4_1) + 1 0.00 housekeeping/_3861_ (net) + 0.20 0.00 35.50 v housekeeping/_8237_/A (sky130_fd_sc_hd__or4_1) + 0.22 1.38 36.88 v housekeeping/_8237_/X (sky130_fd_sc_hd__or4_1) + 1 0.01 housekeeping/_3867_ (net) + 0.22 0.00 36.88 v housekeeping/_8241_/A (sky130_fd_sc_hd__or4_1) + 0.21 1.37 38.24 v housekeeping/_8241_/X (sky130_fd_sc_hd__or4_1) + 1 0.00 housekeeping/_3871_ (net) + 0.21 0.00 38.25 v housekeeping/_8245_/A (sky130_fd_sc_hd__or3_1) + 0.16 0.98 39.23 v housekeeping/_8245_/X (sky130_fd_sc_hd__or3_1) + 1 0.01 housekeeping/_3875_ (net) + 0.16 0.00 39.23 v housekeeping/_8248_/A (sky130_fd_sc_hd__or3_1) + 0.13 0.91 40.14 v housekeeping/_8248_/X (sky130_fd_sc_hd__or3_1) + 1 0.00 housekeeping/_3878_ (net) + 0.13 0.00 40.14 v housekeeping/_8251_/A (sky130_fd_sc_hd__or2_1) + 0.09 0.53 40.67 v housekeeping/_8251_/X (sky130_fd_sc_hd__or2_1) + 1 0.00 housekeeping/_3881_ (net) + 0.09 0.00 40.67 v housekeeping/_8253_/A (sky130_fd_sc_hd__or2_1) + 0.09 0.51 41.18 v housekeeping/_8253_/X (sky130_fd_sc_hd__or2_1) + 1 0.00 housekeeping/_3883_ (net) + 0.09 0.00 41.18 v housekeeping/_8255_/A (sky130_fd_sc_hd__or2_1) + 0.09 0.51 41.69 v housekeeping/_8255_/X (sky130_fd_sc_hd__or2_1) + 1 0.00 housekeeping/_3885_ (net) + 0.09 0.00 41.69 v housekeeping/_8257_/A (sky130_fd_sc_hd__or2_1) + 0.09 0.52 42.21 v housekeeping/_8257_/X (sky130_fd_sc_hd__or2_1) + 1 0.00 housekeeping/_3887_ (net) + 0.09 0.00 42.21 v housekeeping/_8259_/A (sky130_fd_sc_hd__or2_1) + 0.10 0.54 42.75 v housekeeping/_8259_/X (sky130_fd_sc_hd__or2_1) + 1 0.00 housekeeping/_3889_ (net) + 0.10 0.00 42.75 v housekeeping/_8261_/A (sky130_fd_sc_hd__or2_1) + 0.09 0.51 43.27 v housekeeping/_8261_/X (sky130_fd_sc_hd__or2_1) + 1 0.00 housekeeping/_3891_ (net) + 0.09 0.00 43.27 v housekeeping/_8263_/A (sky130_fd_sc_hd__or2_1) + 0.18 0.63 43.89 v housekeeping/_8263_/X (sky130_fd_sc_hd__or2_1) + 1 0.01 housekeeping/_3893_ (net) + 0.18 0.00 43.89 v housekeeping/_8265_/A (sky130_fd_sc_hd__or2_1) + 0.09 0.55 44.45 v housekeeping/_8265_/X (sky130_fd_sc_hd__or2_1) + 1 0.00 housekeeping/_3895_ (net) + 0.09 0.00 44.45 v housekeeping/_8267_/A (sky130_fd_sc_hd__or2_1) + 0.11 0.55 44.99 v housekeeping/_8267_/X (sky130_fd_sc_hd__or2_1) + 1 0.00 housekeeping/_3897_ (net) + 0.11 0.00 44.99 v housekeeping/_8269_/A (sky130_fd_sc_hd__or2_1) + 0.09 0.53 45.52 v housekeeping/_8269_/X (sky130_fd_sc_hd__or2_1) + 1 0.00 housekeeping/_3899_ (net) + 0.09 0.00 45.52 v housekeeping/_8271_/A (sky130_fd_sc_hd__or2_1) + 0.15 0.61 46.13 v housekeeping/_8271_/X (sky130_fd_sc_hd__or2_1) + 1 0.01 housekeeping/_3901_ (net) + 0.15 0.00 46.13 v housekeeping/_8273_/A (sky130_fd_sc_hd__or2_1) + 0.09 0.55 46.68 v housekeeping/_8273_/X (sky130_fd_sc_hd__or2_1) + 1 0.00 housekeeping/_3903_ (net) + 0.09 0.00 46.68 v housekeeping/_8275_/A (sky130_fd_sc_hd__or2_2) + 0.28 0.93 47.61 v housekeeping/_8275_/X (sky130_fd_sc_hd__or2_2) + 1 0.04 housekeeping/_3905_ (net) + 0.28 0.01 47.62 v housekeeping/_8280_/A_N (sky130_fd_sc_hd__nand3b_1) + 0.10 0.42 48.04 v housekeeping/_8280_/Y (sky130_fd_sc_hd__nand3b_1) + 1 0.00 housekeeping/_3910_ (net) + 0.10 0.00 48.04 v housekeeping/_8281_/B (sky130_fd_sc_hd__or2_1) + 0.10 0.50 48.54 v housekeeping/_8281_/X (sky130_fd_sc_hd__or2_1) + 1 0.00 housekeeping/_3911_ (net) + 0.10 0.00 48.54 v housekeeping/_8283_/A (sky130_fd_sc_hd__or2_1) + 0.10 0.54 49.08 v housekeeping/_8283_/X (sky130_fd_sc_hd__or2_1) + 1 0.00 housekeeping/_3913_ (net) + 0.10 0.00 49.08 v housekeeping/_8284_/B (sky130_fd_sc_hd__or2_1) + 0.08 0.47 49.55 v housekeeping/_8284_/X (sky130_fd_sc_hd__or2_1) + 1 0.00 housekeeping/_3914_ (net) + 0.08 0.00 49.55 v housekeeping/_8285_/B (sky130_fd_sc_hd__or2_1) + 0.09 0.47 50.02 v housekeeping/_8285_/X (sky130_fd_sc_hd__or2_1) + 1 0.00 housekeeping/_3915_ (net) + 0.09 0.00 50.02 v housekeeping/_8286_/C (sky130_fd_sc_hd__or3_1) + 0.12 0.72 50.74 v housekeeping/_8286_/X (sky130_fd_sc_hd__or3_1) + 1 0.00 housekeeping/_3916_ (net) + 0.12 0.00 50.74 v housekeeping/_8287_/B (sky130_fd_sc_hd__or2_1) + 0.12 0.53 51.28 v housekeeping/_8287_/X (sky130_fd_sc_hd__or2_1) + 1 0.01 housekeeping/_3917_ (net) + 0.12 0.00 51.28 v housekeeping/_8288_/B1 (sky130_fd_sc_hd__a31o_1) + 0.07 0.37 51.65 v housekeeping/_8288_/X (sky130_fd_sc_hd__a31o_1) + 1 0.00 housekeeping/_3918_ (net) + 0.07 0.00 51.65 v housekeeping/_8289_/B1 (sky130_fd_sc_hd__a31o_1) + 0.10 0.38 52.03 v housekeeping/_8289_/X (sky130_fd_sc_hd__a31o_1) + 1 0.01 housekeeping/_3919_ (net) + 0.10 0.00 52.03 v housekeeping/_8290_/B (sky130_fd_sc_hd__or2_1) + 0.09 0.47 52.51 v housekeeping/_8290_/X (sky130_fd_sc_hd__or2_1) + 1 0.00 housekeeping/_3920_ (net) + 0.09 0.00 52.51 v housekeeping/_8291_/C (sky130_fd_sc_hd__or3_1) + 0.13 0.75 53.25 v housekeeping/_8291_/X (sky130_fd_sc_hd__or3_1) + 1 0.00 housekeeping/_3921_ (net) + 0.13 0.00 53.25 v housekeeping/_8292_/B (sky130_fd_sc_hd__nor2_1) + 0.12 0.16 53.41 ^ housekeeping/_8292_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 housekeeping/_3922_ (net) + 0.12 0.00 53.41 ^ housekeeping/_8294_/A (sky130_fd_sc_hd__or2_1) + 0.09 0.22 53.63 ^ housekeeping/_8294_/X (sky130_fd_sc_hd__or2_1) + 1 0.00 housekeeping/_3924_ (net) + 0.09 0.00 53.63 ^ housekeeping/_8295_/B (sky130_fd_sc_hd__and2_1) + 0.08 0.24 53.87 ^ housekeeping/_8295_/X (sky130_fd_sc_hd__and2_1) + 1 0.00 housekeeping/_3925_ (net) + 0.08 0.00 53.87 ^ housekeeping/_8296_/B (sky130_fd_sc_hd__nand2_1) + 0.14 0.17 54.04 v housekeeping/_8296_/Y (sky130_fd_sc_hd__nand2_1) + 1 0.01 housekeeping/_0179_ (net) + 0.14 0.00 54.04 v housekeeping/_8969_/A0 (sky130_fd_sc_hd__mux2_4) + 0.18 0.68 54.73 v housekeeping/_8969_/X (sky130_fd_sc_hd__mux2_4) + 1 0.05 housekeeping/_4401_ (net) + 0.18 0.01 54.73 v housekeeping/_5044_/A1 (sky130_fd_sc_hd__a22o_1) + 0.08 0.45 55.18 v housekeeping/_5044_/X (sky130_fd_sc_hd__a22o_1) + 1 0.00 housekeeping/_0904_ (net) + 0.08 0.00 55.18 v housekeeping/_9675_/D (sky130_fd_sc_hd__dfrtp_1) + 55.18 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.40 30.65 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.08 0.00 30.66 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.08 0.19 30.85 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.08 0.00 30.85 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.24 0.30 31.15 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.24 0.00 31.16 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.38 31.53 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.25 0.00 31.53 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.58 0.60 32.13 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.58 0.01 32.14 ^ housekeeping/_9675_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 31.89 clock uncertainty + 1.22 33.11 clock reconvergence pessimism + -0.15 32.97 library setup time + 32.97 data required time +----------------------------------------------------------------------------- + 32.97 data required time + -55.18 data arrival time +----------------------------------------------------------------------------- + -22.22 slack (VIOLATED) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[8] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.30 1.18 11.99 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 1.30 0.03 12.02 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.71 12.72 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.12 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.26 0.00 13.59 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.12 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.12 0.00 14.10 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.14 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.14 0.01 14.45 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.38 0.48 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.50 0.18 15.11 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 2.20 1.84 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 2.22 0.18 17.13 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.53 1.54 18.67 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.56 0.10 18.77 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.12 0.21 18.98 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.12 0.00 18.98 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.18 19.16 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.07 0.00 19.16 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 19.32 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.07 0.00 19.32 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.18 19.50 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.10 0.00 19.50 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 19.68 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.09 0.00 19.68 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.19 19.88 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.10 0.00 19.88 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.17 20.04 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.06 0.00 20.04 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 20.20 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.08 0.00 20.20 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.36 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.07 0.00 20.36 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.52 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.07 0.00 20.52 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 20.69 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.09 0.00 20.69 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 20.87 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.09 0.00 20.87 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.04 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.08 0.00 21.04 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.21 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.08 0.00 21.21 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 21.37 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.07 0.00 21.37 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.22 21.58 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.14 0.00 21.58 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.28 21.86 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.19 0.00 21.86 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.31 22.18 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.21 0.00 22.18 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.33 22.51 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.22 0.00 22.51 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.34 22.85 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.22 0.00 22.85 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.23 0.34 23.19 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.23 0.00 23.19 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.33 23.52 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.21 0.00 23.52 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.36 23.88 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.26 0.00 23.88 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.25 24.12 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.09 0.00 24.13 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.21 24.33 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.12 0.00 24.33 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 24.58 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.15 0.00 24.58 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 24.81 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.13 0.00 24.81 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.22 25.03 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.12 0.00 25.03 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 25.27 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.15 0.00 25.27 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 25.51 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.13 0.00 25.51 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.25 25.76 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.16 0.00 25.76 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.20 25.96 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.08 0.00 25.96 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.30 0.33 26.30 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.30 0.00 26.30 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.24 26.54 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.07 0.00 26.54 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.19 26.72 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.11 0.00 26.72 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 26.92 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.09 0.00 26.92 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 27.09 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.07 0.00 27.09 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.24 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.06 0.00 27.24 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 27.40 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.08 0.00 27.40 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.56 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.07 0.00 27.56 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.72 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.07 0.00 27.72 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.87 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.06 0.00 27.87 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.01 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.06 0.00 28.01 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.16 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.06 0.00 28.16 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.31 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.06 0.00 28.31 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.46 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.06 0.00 28.46 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.61 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.06 0.00 28.61 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 28.77 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.08 0.00 28.77 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.16 28.93 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.06 0.00 28.93 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.09 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.08 0.00 29.09 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.26 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.08 0.00 29.26 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.43 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.08 0.00 29.43 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 29.61 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.09 0.00 29.61 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 29.78 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.07 0.00 29.78 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.94 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.08 0.00 29.94 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 30.18 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.17 0.00 30.18 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 30.41 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.10 0.00 30.41 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 30.59 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.08 0.00 30.59 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 30.74 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.06 0.00 30.74 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 30.89 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.07 0.00 30.89 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 31.04 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.07 0.00 31.04 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 31.20 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.08 0.00 31.20 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 31.44 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.17 0.00 31.44 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 31.67 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.10 0.00 31.67 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 31.84 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.07 0.00 31.84 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 32.01 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.08 0.00 32.01 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.18 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.09 0.00 32.18 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.37 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.09 0.00 32.37 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 32.54 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.08 0.00 32.54 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.72 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.09 0.00 32.72 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 32.88 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.07 0.00 32.88 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.22 33.10 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.16 0.00 33.11 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.34 33.45 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.26 0.00 33.45 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 33.88 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.25 0.01 33.88 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.44 34.33 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.28 0.00 34.33 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 34.76 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.25 0.00 34.76 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.35 0.49 35.25 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.35 0.01 35.26 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.47 35.73 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.27 0.00 35.74 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.45 36.19 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.28 0.01 36.19 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.26 0.44 36.63 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.26 0.00 36.64 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.72 0.75 37.39 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.72 0.03 37.42 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.09 0.46 37.88 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.09 0.00 37.88 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.20 38.08 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.12 0.00 38.08 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.20 38.28 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.10 0.00 38.28 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 38.46 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.08 0.00 38.46 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 38.62 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.07 0.00 38.62 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 38.77 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.07 0.00 38.77 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 38.92 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.06 0.00 38.92 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.08 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.07 0.00 39.08 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 39.24 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.08 0.00 39.24 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.41 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.08 0.00 39.41 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.57 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.08 0.00 39.57 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 39.73 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.07 0.00 39.73 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.88 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.07 0.00 39.88 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 40.05 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.09 0.00 40.05 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.22 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.08 0.00 40.22 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.39 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.08 0.00 40.39 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.55 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.07 0.00 40.55 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 40.70 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.07 0.00 40.70 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.86 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.07 0.00 40.86 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.24 41.10 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.07 0.00 41.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.86 0.78 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.87 0.02 41.90 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.58 42.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.A_buf[3] (net) + 0.18 0.00 42.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4) + 0.09 0.45 42.93 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.EN (net) + 0.09 0.00 42.93 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.29 43.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.EN_buf (net) + 0.17 0.00 43.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.AND0/D_N (sky130_fd_sc_hd__nor4b_2) + 0.33 0.41 43.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.AND0/Y (sky130_fd_sc_hd__nor4b_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SEL (net) + 0.33 0.00 43.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.80 0.86 44.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.SEL (net) + 0.80 0.01 44.49 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.43 0.68 45.17 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.SEL_B (net) + 0.43 0.00 45.17 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.BIT[0].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 4.41 3.56 48.73 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.BIT[0].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.23 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_pre[8] (net) + 4.42 0.10 48.83 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[8]/D (sky130_fd_sc_hd__dfxtp_1) + 48.83 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 3.27 2.54 32.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.73 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 3.27 0.09 32.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[8]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 32.63 clock uncertainty + 1.22 33.85 clock reconvergence pessimism + -0.44 33.42 library setup time + 33.42 data required time +----------------------------------------------------------------------------- + 33.42 data required time + -48.83 data arrival time +----------------------------------------------------------------------------- + -15.42 slack (VIOLATED) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[15] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.30 1.18 11.99 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 1.30 0.03 12.02 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.71 12.72 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.12 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.26 0.00 13.59 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.12 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.12 0.00 14.10 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.14 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.14 0.01 14.45 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.38 0.48 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.50 0.18 15.11 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 2.20 1.84 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 2.22 0.18 17.13 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.53 1.54 18.67 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.56 0.10 18.77 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.12 0.21 18.98 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.12 0.00 18.98 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.18 19.16 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.07 0.00 19.16 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 19.32 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.07 0.00 19.32 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.18 19.50 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.10 0.00 19.50 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 19.68 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.09 0.00 19.68 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.19 19.88 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.10 0.00 19.88 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.17 20.04 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.06 0.00 20.04 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 20.20 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.08 0.00 20.20 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.36 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.07 0.00 20.36 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.52 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.07 0.00 20.52 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 20.69 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.09 0.00 20.69 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 20.87 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.09 0.00 20.87 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.04 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.08 0.00 21.04 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.21 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.08 0.00 21.21 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 21.37 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.07 0.00 21.37 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.22 21.58 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.14 0.00 21.58 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.28 21.86 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.19 0.00 21.86 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.31 22.18 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.21 0.00 22.18 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.33 22.51 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.22 0.00 22.51 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.34 22.85 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.22 0.00 22.85 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.23 0.34 23.19 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.23 0.00 23.19 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.33 23.52 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.21 0.00 23.52 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.36 23.88 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.26 0.00 23.88 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.25 24.12 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.09 0.00 24.13 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.21 24.33 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.12 0.00 24.33 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 24.58 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.15 0.00 24.58 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 24.81 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.13 0.00 24.81 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.22 25.03 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.12 0.00 25.03 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 25.27 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.15 0.00 25.27 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 25.51 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.13 0.00 25.51 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.25 25.76 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.16 0.00 25.76 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.20 25.96 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.08 0.00 25.96 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.30 0.33 26.30 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.30 0.00 26.30 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.24 26.54 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.07 0.00 26.54 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.19 26.72 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.11 0.00 26.72 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 26.92 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.09 0.00 26.92 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 27.09 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.07 0.00 27.09 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.24 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.06 0.00 27.24 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 27.40 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.08 0.00 27.40 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.56 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.07 0.00 27.56 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.72 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.07 0.00 27.72 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.87 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.06 0.00 27.87 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.01 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.06 0.00 28.01 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.16 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.06 0.00 28.16 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.31 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.06 0.00 28.31 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.46 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.06 0.00 28.46 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.61 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.06 0.00 28.61 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 28.77 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.08 0.00 28.77 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.16 28.93 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.06 0.00 28.93 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.09 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.08 0.00 29.09 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.26 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.08 0.00 29.26 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.43 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.08 0.00 29.43 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 29.61 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.09 0.00 29.61 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 29.78 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.07 0.00 29.78 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.94 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.08 0.00 29.94 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 30.18 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.17 0.00 30.18 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 30.41 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.10 0.00 30.41 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 30.59 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.08 0.00 30.59 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 30.74 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.06 0.00 30.74 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 30.89 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.07 0.00 30.89 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 31.04 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.07 0.00 31.04 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 31.20 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.08 0.00 31.20 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 31.44 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.17 0.00 31.44 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 31.67 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.10 0.00 31.67 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 31.84 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.07 0.00 31.84 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 32.01 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.08 0.00 32.01 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.18 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.09 0.00 32.18 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.37 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.09 0.00 32.37 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 32.54 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.08 0.00 32.54 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.72 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.09 0.00 32.72 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 32.88 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.07 0.00 32.88 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.22 33.10 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.16 0.00 33.11 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.34 33.45 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.26 0.00 33.45 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 33.88 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.25 0.01 33.88 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.44 34.33 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.28 0.00 34.33 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 34.76 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.25 0.00 34.76 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.35 0.49 35.25 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.35 0.01 35.26 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.47 35.73 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.27 0.00 35.74 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.45 36.19 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.28 0.01 36.19 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.26 0.44 36.63 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.26 0.00 36.64 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.72 0.75 37.39 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.72 0.03 37.42 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.09 0.46 37.88 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.09 0.00 37.88 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.20 38.08 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.12 0.00 38.08 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.20 38.28 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.10 0.00 38.28 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 38.46 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.08 0.00 38.46 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 38.62 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.07 0.00 38.62 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 38.77 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.07 0.00 38.77 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 38.92 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.06 0.00 38.92 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.08 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.07 0.00 39.08 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 39.24 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.08 0.00 39.24 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.41 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.08 0.00 39.41 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.57 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.08 0.00 39.57 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 39.73 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.07 0.00 39.73 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.88 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.07 0.00 39.88 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 40.05 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.09 0.00 40.05 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.22 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.08 0.00 40.22 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.39 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.08 0.00 40.39 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.55 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.07 0.00 40.55 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 40.70 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.07 0.00 40.70 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.86 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.07 0.00 40.86 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.24 41.10 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.07 0.00 41.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.86 0.78 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.87 0.02 41.90 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.58 42.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.A_buf[3] (net) + 0.18 0.00 42.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4) + 0.09 0.45 42.93 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.EN (net) + 0.09 0.00 42.93 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.29 43.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.EN_buf (net) + 0.17 0.00 43.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.AND0/D_N (sky130_fd_sc_hd__nor4b_2) + 0.33 0.41 43.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.AND0/Y (sky130_fd_sc_hd__nor4b_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SEL (net) + 0.33 0.00 43.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.80 0.86 44.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.SEL (net) + 0.80 0.01 44.49 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.43 0.68 45.17 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.SEL_B (net) + 0.43 0.00 45.17 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.BIT[7].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 4.42 3.59 48.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.BIT[7].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.23 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_pre[15] (net) + 4.42 0.06 48.83 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[15]/D (sky130_fd_sc_hd__dfxtp_1) + 48.83 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 3.27 2.54 32.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.73 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 3.27 0.08 32.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[15]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 32.63 clock uncertainty + 1.22 33.85 clock reconvergence pessimism + -0.44 33.41 library setup time + 33.41 data required time +----------------------------------------------------------------------------- + 33.41 data required time + -48.83 data arrival time +----------------------------------------------------------------------------- + -15.41 slack (VIOLATED) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[12] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.30 1.18 11.99 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 1.30 0.03 12.02 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.71 12.72 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.12 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.26 0.00 13.59 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.12 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.12 0.00 14.10 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.14 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.14 0.01 14.45 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.38 0.48 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.50 0.18 15.11 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 2.20 1.84 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 2.22 0.18 17.13 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.53 1.54 18.67 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.56 0.10 18.77 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.12 0.21 18.98 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.12 0.00 18.98 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.18 19.16 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.07 0.00 19.16 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 19.32 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.07 0.00 19.32 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.18 19.50 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.10 0.00 19.50 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 19.68 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.09 0.00 19.68 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.19 19.88 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.10 0.00 19.88 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.17 20.04 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.06 0.00 20.04 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 20.20 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.08 0.00 20.20 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.36 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.07 0.00 20.36 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.52 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.07 0.00 20.52 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 20.69 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.09 0.00 20.69 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 20.87 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.09 0.00 20.87 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.04 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.08 0.00 21.04 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.21 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.08 0.00 21.21 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 21.37 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.07 0.00 21.37 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.22 21.58 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.14 0.00 21.58 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.28 21.86 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.19 0.00 21.86 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.31 22.18 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.21 0.00 22.18 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.33 22.51 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.22 0.00 22.51 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.34 22.85 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.22 0.00 22.85 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.23 0.34 23.19 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.23 0.00 23.19 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.33 23.52 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.21 0.00 23.52 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.36 23.88 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.26 0.00 23.88 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.25 24.12 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.09 0.00 24.13 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.21 24.33 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.12 0.00 24.33 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 24.58 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.15 0.00 24.58 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 24.81 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.13 0.00 24.81 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.22 25.03 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.12 0.00 25.03 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 25.27 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.15 0.00 25.27 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 25.51 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.13 0.00 25.51 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.25 25.76 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.16 0.00 25.76 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.20 25.96 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.08 0.00 25.96 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.30 0.33 26.30 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.30 0.00 26.30 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.24 26.54 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.07 0.00 26.54 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.19 26.72 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.11 0.00 26.72 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 26.92 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.09 0.00 26.92 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 27.09 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.07 0.00 27.09 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.24 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.06 0.00 27.24 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 27.40 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.08 0.00 27.40 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.56 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.07 0.00 27.56 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.72 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.07 0.00 27.72 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.87 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.06 0.00 27.87 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.01 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.06 0.00 28.01 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.16 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.06 0.00 28.16 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.31 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.06 0.00 28.31 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.46 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.06 0.00 28.46 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.61 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.06 0.00 28.61 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 28.77 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.08 0.00 28.77 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.16 28.93 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.06 0.00 28.93 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.09 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.08 0.00 29.09 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.26 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.08 0.00 29.26 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.43 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.08 0.00 29.43 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 29.61 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.09 0.00 29.61 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 29.78 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.07 0.00 29.78 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.94 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.08 0.00 29.94 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 30.18 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.17 0.00 30.18 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 30.41 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.10 0.00 30.41 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 30.59 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.08 0.00 30.59 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 30.74 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.06 0.00 30.74 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 30.89 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.07 0.00 30.89 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 31.04 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.07 0.00 31.04 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 31.20 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.08 0.00 31.20 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 31.44 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.17 0.00 31.44 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 31.67 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.10 0.00 31.67 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 31.84 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.07 0.00 31.84 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 32.01 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.08 0.00 32.01 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.18 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.09 0.00 32.18 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.37 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.09 0.00 32.37 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 32.54 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.08 0.00 32.54 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.72 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.09 0.00 32.72 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 32.88 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.07 0.00 32.88 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.22 33.10 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.16 0.00 33.11 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.34 33.45 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.26 0.00 33.45 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 33.88 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.25 0.01 33.88 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.44 34.33 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.28 0.00 34.33 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 34.76 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.25 0.00 34.76 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.35 0.49 35.25 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.35 0.01 35.26 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.47 35.73 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.27 0.00 35.74 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.45 36.19 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.28 0.01 36.19 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.26 0.44 36.63 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.26 0.00 36.64 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.72 0.75 37.39 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.72 0.03 37.42 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.09 0.46 37.88 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.09 0.00 37.88 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.20 38.08 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.12 0.00 38.08 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.20 38.28 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.10 0.00 38.28 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 38.46 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.08 0.00 38.46 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 38.62 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.07 0.00 38.62 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 38.77 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.07 0.00 38.77 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 38.92 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.06 0.00 38.92 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.08 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.07 0.00 39.08 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 39.24 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.08 0.00 39.24 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.41 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.08 0.00 39.41 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.57 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.08 0.00 39.57 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 39.73 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.07 0.00 39.73 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.88 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.07 0.00 39.88 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 40.05 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.09 0.00 40.05 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.22 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.08 0.00 40.22 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.39 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.08 0.00 40.39 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.55 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.07 0.00 40.55 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 40.70 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.07 0.00 40.70 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.86 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.07 0.00 40.86 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.24 41.10 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.07 0.00 41.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.86 0.78 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.87 0.02 41.90 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.58 42.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.A_buf[3] (net) + 0.18 0.00 42.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4) + 0.09 0.45 42.93 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.EN (net) + 0.09 0.00 42.93 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.29 43.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.EN_buf (net) + 0.17 0.00 43.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.AND0/D_N (sky130_fd_sc_hd__nor4b_2) + 0.33 0.41 43.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.AND0/Y (sky130_fd_sc_hd__nor4b_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SEL (net) + 0.33 0.00 43.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.80 0.86 44.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.SEL (net) + 0.80 0.01 44.49 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.43 0.68 45.17 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.SEL_B (net) + 0.43 0.00 45.17 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.BIT[4].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 4.39 3.56 48.73 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.BIT[4].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.23 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_pre[12] (net) + 4.39 0.08 48.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[12]/D (sky130_fd_sc_hd__dfxtp_1) + 48.81 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 3.27 2.54 32.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.73 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 3.27 0.08 32.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[12]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 32.63 clock uncertainty + 1.22 33.85 clock reconvergence pessimism + -0.43 33.42 library setup time + 33.42 data required time +----------------------------------------------------------------------------- + 33.42 data required time + -48.81 data arrival time +----------------------------------------------------------------------------- + -15.39 slack (VIOLATED) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[22] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.30 1.18 11.99 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 1.30 0.03 12.02 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.71 12.72 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.12 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.26 0.00 13.59 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.12 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.12 0.00 14.10 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.14 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.14 0.01 14.45 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.38 0.48 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.50 0.18 15.11 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 2.20 1.84 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 2.22 0.18 17.13 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.53 1.54 18.67 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.56 0.10 18.77 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.12 0.21 18.98 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.12 0.00 18.98 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.18 19.16 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.07 0.00 19.16 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 19.32 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.07 0.00 19.32 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.18 19.50 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.10 0.00 19.50 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 19.68 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.09 0.00 19.68 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.19 19.88 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.10 0.00 19.88 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.17 20.04 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.06 0.00 20.04 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 20.20 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.08 0.00 20.20 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.36 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.07 0.00 20.36 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.52 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.07 0.00 20.52 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 20.69 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.09 0.00 20.69 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 20.87 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.09 0.00 20.87 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.04 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.08 0.00 21.04 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.21 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.08 0.00 21.21 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 21.37 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.07 0.00 21.37 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.22 21.58 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.14 0.00 21.58 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.28 21.86 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.19 0.00 21.86 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.31 22.18 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.21 0.00 22.18 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.33 22.51 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.22 0.00 22.51 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.34 22.85 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.22 0.00 22.85 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.23 0.34 23.19 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.23 0.00 23.19 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.33 23.52 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.21 0.00 23.52 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.36 23.88 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.26 0.00 23.88 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.25 24.12 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.09 0.00 24.13 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.21 24.33 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.12 0.00 24.33 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 24.58 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.15 0.00 24.58 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 24.81 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.13 0.00 24.81 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.22 25.03 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.12 0.00 25.03 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 25.27 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.15 0.00 25.27 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 25.51 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.13 0.00 25.51 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.25 25.76 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.16 0.00 25.76 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.20 25.96 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.08 0.00 25.96 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.30 0.33 26.30 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.30 0.00 26.30 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.24 26.54 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.07 0.00 26.54 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.19 26.72 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.11 0.00 26.72 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 26.92 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.09 0.00 26.92 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 27.09 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.07 0.00 27.09 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.24 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.06 0.00 27.24 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 27.40 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.08 0.00 27.40 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.56 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.07 0.00 27.56 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.72 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.07 0.00 27.72 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.87 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.06 0.00 27.87 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.01 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.06 0.00 28.01 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.16 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.06 0.00 28.16 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.31 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.06 0.00 28.31 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.46 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.06 0.00 28.46 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.61 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.06 0.00 28.61 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 28.77 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.08 0.00 28.77 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.16 28.93 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.06 0.00 28.93 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.09 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.08 0.00 29.09 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.26 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.08 0.00 29.26 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.43 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.08 0.00 29.43 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 29.61 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.09 0.00 29.61 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 29.78 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.07 0.00 29.78 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.94 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.08 0.00 29.94 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 30.18 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.17 0.00 30.18 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 30.41 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.10 0.00 30.41 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 30.59 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.08 0.00 30.59 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 30.74 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.06 0.00 30.74 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 30.89 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.07 0.00 30.89 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 31.04 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.07 0.00 31.04 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 31.20 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.08 0.00 31.20 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 31.44 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.17 0.00 31.44 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 31.67 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.10 0.00 31.67 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 31.84 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.07 0.00 31.84 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 32.01 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.08 0.00 32.01 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.18 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.09 0.00 32.18 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.37 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.09 0.00 32.37 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 32.54 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.08 0.00 32.54 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.72 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.09 0.00 32.72 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 32.88 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.07 0.00 32.88 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.22 33.10 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.16 0.00 33.11 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.34 33.45 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.26 0.00 33.45 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 33.88 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.25 0.01 33.88 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.44 34.33 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.28 0.00 34.33 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 34.76 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.25 0.00 34.76 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.35 0.49 35.25 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.35 0.01 35.26 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.47 35.73 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.27 0.00 35.74 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.45 36.19 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.28 0.01 36.19 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.26 0.44 36.63 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.26 0.00 36.64 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.72 0.75 37.39 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.72 0.03 37.42 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.09 0.46 37.88 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.09 0.00 37.88 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.20 38.08 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.12 0.00 38.08 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.20 38.28 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.10 0.00 38.28 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 38.46 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.08 0.00 38.46 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 38.62 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.07 0.00 38.62 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 38.77 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.07 0.00 38.77 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 38.92 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.06 0.00 38.92 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.08 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.07 0.00 39.08 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 39.24 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.08 0.00 39.24 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.41 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.08 0.00 39.41 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.57 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.08 0.00 39.57 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 39.73 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.07 0.00 39.73 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.88 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.07 0.00 39.88 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 40.05 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.09 0.00 40.05 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.22 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.08 0.00 40.22 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.39 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.08 0.00 40.39 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.55 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.07 0.00 40.55 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 40.70 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.07 0.00 40.70 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.86 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.07 0.00 40.86 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.24 41.10 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.07 0.00 41.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.86 0.78 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.86 0.01 41.89 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.58 42.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net) + 0.18 0.00 42.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4) + 0.09 0.44 42.91 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN (net) + 0.09 0.00 42.91 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.31 43.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN_buf (net) + 0.20 0.00 43.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2) + 0.11 0.56 43.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.SEL (net) + 0.11 0.00 43.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.66 0.66 44.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[0].B.SEL (net) + 0.66 0.00 44.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.40 0.62 45.05 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[2].B.SEL_B (net) + 0.40 0.00 45.05 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[2].B.BIT[6].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 4.48 3.65 48.71 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[2].B.BIT[6].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.24 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[22] (net) + 4.50 0.03 48.74 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[22]/D (sky130_fd_sc_hd__dfxtp_1) + 48.74 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 3.27 2.54 32.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.73 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 3.27 0.03 32.83 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[22]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 32.58 clock uncertainty + 1.22 33.80 clock reconvergence pessimism + -0.45 33.36 library setup time + 33.36 data required time +----------------------------------------------------------------------------- + 33.36 data required time + -48.74 data arrival time +----------------------------------------------------------------------------- + -15.39 slack (VIOLATED) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[13] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.30 1.18 11.99 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 1.30 0.03 12.02 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.71 12.72 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.12 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.26 0.00 13.59 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.12 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.12 0.00 14.10 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.14 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.14 0.01 14.45 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.38 0.48 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.50 0.18 15.11 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 2.20 1.84 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 2.22 0.18 17.13 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.53 1.54 18.67 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.56 0.10 18.77 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.12 0.21 18.98 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.12 0.00 18.98 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.18 19.16 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.07 0.00 19.16 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 19.32 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.07 0.00 19.32 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.18 19.50 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.10 0.00 19.50 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 19.68 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.09 0.00 19.68 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.19 19.88 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.10 0.00 19.88 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.17 20.04 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.06 0.00 20.04 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 20.20 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.08 0.00 20.20 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.36 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.07 0.00 20.36 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.52 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.07 0.00 20.52 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 20.69 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.09 0.00 20.69 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 20.87 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.09 0.00 20.87 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.04 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.08 0.00 21.04 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.21 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.08 0.00 21.21 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 21.37 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.07 0.00 21.37 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.22 21.58 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.14 0.00 21.58 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.28 21.86 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.19 0.00 21.86 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.31 22.18 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.21 0.00 22.18 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.33 22.51 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.22 0.00 22.51 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.34 22.85 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.22 0.00 22.85 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.23 0.34 23.19 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.23 0.00 23.19 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.33 23.52 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.21 0.00 23.52 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.36 23.88 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.26 0.00 23.88 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.25 24.12 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.09 0.00 24.13 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.21 24.33 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.12 0.00 24.33 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 24.58 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.15 0.00 24.58 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 24.81 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.13 0.00 24.81 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.22 25.03 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.12 0.00 25.03 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 25.27 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.15 0.00 25.27 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 25.51 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.13 0.00 25.51 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.25 25.76 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.16 0.00 25.76 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.20 25.96 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.08 0.00 25.96 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.30 0.33 26.30 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.30 0.00 26.30 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.24 26.54 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.07 0.00 26.54 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.19 26.72 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.11 0.00 26.72 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 26.92 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.09 0.00 26.92 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 27.09 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.07 0.00 27.09 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.24 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.06 0.00 27.24 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 27.40 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.08 0.00 27.40 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.56 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.07 0.00 27.56 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.72 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.07 0.00 27.72 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.87 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.06 0.00 27.87 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.01 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.06 0.00 28.01 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.16 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.06 0.00 28.16 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.31 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.06 0.00 28.31 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.46 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.06 0.00 28.46 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.61 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.06 0.00 28.61 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 28.77 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.08 0.00 28.77 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.16 28.93 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.06 0.00 28.93 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.09 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.08 0.00 29.09 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.26 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.08 0.00 29.26 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.43 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.08 0.00 29.43 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 29.61 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.09 0.00 29.61 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 29.78 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.07 0.00 29.78 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.94 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.08 0.00 29.94 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 30.18 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.17 0.00 30.18 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 30.41 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.10 0.00 30.41 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 30.59 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.08 0.00 30.59 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 30.74 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.06 0.00 30.74 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 30.89 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.07 0.00 30.89 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 31.04 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.07 0.00 31.04 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 31.20 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.08 0.00 31.20 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 31.44 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.17 0.00 31.44 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 31.67 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.10 0.00 31.67 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 31.84 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.07 0.00 31.84 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 32.01 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.08 0.00 32.01 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.18 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.09 0.00 32.18 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.37 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.09 0.00 32.37 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 32.54 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.08 0.00 32.54 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.72 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.09 0.00 32.72 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 32.88 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.07 0.00 32.88 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.22 33.10 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.16 0.00 33.11 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.34 33.45 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.26 0.00 33.45 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 33.88 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.25 0.01 33.88 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.44 34.33 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.28 0.00 34.33 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 34.76 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.25 0.00 34.76 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.35 0.49 35.25 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.35 0.01 35.26 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.47 35.73 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.27 0.00 35.74 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.45 36.19 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.28 0.01 36.19 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.26 0.44 36.63 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.26 0.00 36.64 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.72 0.75 37.39 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.72 0.03 37.42 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.09 0.46 37.88 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.09 0.00 37.88 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.20 38.08 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.12 0.00 38.08 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.20 38.28 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.10 0.00 38.28 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 38.46 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.08 0.00 38.46 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 38.62 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.07 0.00 38.62 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 38.77 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.07 0.00 38.77 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 38.92 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.06 0.00 38.92 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.08 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.07 0.00 39.08 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 39.24 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.08 0.00 39.24 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.41 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.08 0.00 39.41 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.57 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.08 0.00 39.57 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 39.73 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.07 0.00 39.73 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.88 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.07 0.00 39.88 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 40.05 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.09 0.00 40.05 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.22 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.08 0.00 40.22 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.39 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.08 0.00 40.39 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.55 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.07 0.00 40.55 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 40.70 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.07 0.00 40.70 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.86 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.07 0.00 40.86 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.24 41.10 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.07 0.00 41.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.86 0.78 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.87 0.02 41.90 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.58 42.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.A_buf[3] (net) + 0.18 0.00 42.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4) + 0.09 0.45 42.93 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.EN (net) + 0.09 0.00 42.93 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.29 43.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.EN_buf (net) + 0.17 0.00 43.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.AND0/D_N (sky130_fd_sc_hd__nor4b_2) + 0.33 0.41 43.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.AND0/Y (sky130_fd_sc_hd__nor4b_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SEL (net) + 0.33 0.00 43.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.80 0.86 44.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.SEL (net) + 0.80 0.01 44.49 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.43 0.68 45.17 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.SEL_B (net) + 0.43 0.00 45.17 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.BIT[5].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 4.27 3.46 48.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.BIT[5].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.23 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_pre[13] (net) + 4.28 0.10 48.73 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[13]/D (sky130_fd_sc_hd__dfxtp_1) + 48.73 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 3.27 2.54 32.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.73 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 3.27 0.08 32.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[13]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 32.63 clock uncertainty + 1.22 33.85 clock reconvergence pessimism + -0.42 33.43 library setup time + 33.43 data required time +----------------------------------------------------------------------------- + 33.43 data required time + -48.73 data arrival time +----------------------------------------------------------------------------- + -15.30 slack (VIOLATED) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[9] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.30 1.18 11.99 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 1.30 0.03 12.02 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.71 12.72 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.12 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.26 0.00 13.59 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.12 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.12 0.00 14.10 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.14 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.14 0.01 14.45 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.38 0.48 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.50 0.18 15.11 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 2.20 1.84 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 2.22 0.18 17.13 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.53 1.54 18.67 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.56 0.10 18.77 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.12 0.21 18.98 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.12 0.00 18.98 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.18 19.16 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.07 0.00 19.16 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 19.32 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.07 0.00 19.32 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.18 19.50 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.10 0.00 19.50 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 19.68 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.09 0.00 19.68 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.19 19.88 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.10 0.00 19.88 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.17 20.04 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.06 0.00 20.04 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 20.20 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.08 0.00 20.20 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.36 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.07 0.00 20.36 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.52 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.07 0.00 20.52 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 20.69 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.09 0.00 20.69 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 20.87 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.09 0.00 20.87 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.04 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.08 0.00 21.04 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.21 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.08 0.00 21.21 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 21.37 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.07 0.00 21.37 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.22 21.58 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.14 0.00 21.58 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.28 21.86 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.19 0.00 21.86 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.31 22.18 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.21 0.00 22.18 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.33 22.51 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.22 0.00 22.51 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.34 22.85 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.22 0.00 22.85 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.23 0.34 23.19 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.23 0.00 23.19 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.33 23.52 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.21 0.00 23.52 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.36 23.88 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.26 0.00 23.88 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.25 24.12 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.09 0.00 24.13 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.21 24.33 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.12 0.00 24.33 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 24.58 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.15 0.00 24.58 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 24.81 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.13 0.00 24.81 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.22 25.03 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.12 0.00 25.03 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 25.27 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.15 0.00 25.27 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 25.51 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.13 0.00 25.51 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.25 25.76 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.16 0.00 25.76 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.20 25.96 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.08 0.00 25.96 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.30 0.33 26.30 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.30 0.00 26.30 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.24 26.54 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.07 0.00 26.54 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.19 26.72 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.11 0.00 26.72 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 26.92 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.09 0.00 26.92 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 27.09 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.07 0.00 27.09 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.24 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.06 0.00 27.24 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 27.40 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.08 0.00 27.40 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.56 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.07 0.00 27.56 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.72 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.07 0.00 27.72 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.87 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.06 0.00 27.87 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.01 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.06 0.00 28.01 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.16 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.06 0.00 28.16 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.31 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.06 0.00 28.31 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.46 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.06 0.00 28.46 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.61 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.06 0.00 28.61 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 28.77 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.08 0.00 28.77 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.16 28.93 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.06 0.00 28.93 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.09 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.08 0.00 29.09 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.26 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.08 0.00 29.26 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.43 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.08 0.00 29.43 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 29.61 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.09 0.00 29.61 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 29.78 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.07 0.00 29.78 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.94 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.08 0.00 29.94 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 30.18 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.17 0.00 30.18 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 30.41 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.10 0.00 30.41 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 30.59 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.08 0.00 30.59 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 30.74 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.06 0.00 30.74 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 30.89 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.07 0.00 30.89 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 31.04 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.07 0.00 31.04 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 31.20 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.08 0.00 31.20 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 31.44 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.17 0.00 31.44 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 31.67 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.10 0.00 31.67 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 31.84 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.07 0.00 31.84 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 32.01 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.08 0.00 32.01 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.18 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.09 0.00 32.18 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.37 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.09 0.00 32.37 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 32.54 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.08 0.00 32.54 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.72 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.09 0.00 32.72 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 32.88 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.07 0.00 32.88 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.22 33.10 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.16 0.00 33.11 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.34 33.45 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.26 0.00 33.45 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 33.88 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.25 0.01 33.88 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.44 34.33 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.28 0.00 34.33 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 34.76 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.25 0.00 34.76 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.35 0.49 35.25 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.35 0.01 35.26 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.47 35.73 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.27 0.00 35.74 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.45 36.19 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.28 0.01 36.19 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.26 0.44 36.63 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.26 0.00 36.64 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.72 0.75 37.39 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.72 0.03 37.42 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.09 0.46 37.88 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.09 0.00 37.88 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.20 38.08 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.12 0.00 38.08 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.20 38.28 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.10 0.00 38.28 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 38.46 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.08 0.00 38.46 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 38.62 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.07 0.00 38.62 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 38.77 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.07 0.00 38.77 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 38.92 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.06 0.00 38.92 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.08 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.07 0.00 39.08 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 39.24 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.08 0.00 39.24 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.41 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.08 0.00 39.41 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.57 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.08 0.00 39.57 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 39.73 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.07 0.00 39.73 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.88 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.07 0.00 39.88 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 40.05 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.09 0.00 40.05 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.22 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.08 0.00 40.22 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.39 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.08 0.00 40.39 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.55 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.07 0.00 40.55 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 40.70 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.07 0.00 40.70 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.86 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.07 0.00 40.86 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.24 41.10 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.07 0.00 41.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.86 0.78 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.87 0.02 41.90 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.58 42.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.A_buf[3] (net) + 0.18 0.00 42.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4) + 0.09 0.45 42.93 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.EN (net) + 0.09 0.00 42.93 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.29 43.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.EN_buf (net) + 0.17 0.00 43.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.AND0/D_N (sky130_fd_sc_hd__nor4b_2) + 0.33 0.41 43.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.AND0/Y (sky130_fd_sc_hd__nor4b_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SEL (net) + 0.33 0.00 43.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.80 0.86 44.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.SEL (net) + 0.80 0.01 44.49 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.43 0.68 45.17 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.SEL_B (net) + 0.43 0.00 45.17 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.BIT[1].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 4.21 3.41 48.58 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.BIT[1].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_pre[9] (net) + 4.21 0.10 48.68 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[9]/D (sky130_fd_sc_hd__dfxtp_1) + 48.68 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 3.27 2.54 32.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.73 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 3.27 0.08 32.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[9]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 32.63 clock uncertainty + 1.22 33.85 clock reconvergence pessimism + -0.41 33.44 library setup time + 33.44 data required time +----------------------------------------------------------------------------- + 33.44 data required time + -48.68 data arrival time +----------------------------------------------------------------------------- + -15.24 slack (VIOLATED) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[13] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.30 1.18 11.99 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 1.30 0.03 12.02 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.71 12.72 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.12 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.26 0.00 13.59 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.12 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.12 0.00 14.10 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.14 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.14 0.01 14.45 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.38 0.48 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.50 0.18 15.11 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 2.20 1.84 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 2.22 0.18 17.13 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.53 1.54 18.67 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.56 0.10 18.77 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.12 0.21 18.98 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.12 0.00 18.98 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.18 19.16 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.07 0.00 19.16 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 19.32 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.07 0.00 19.32 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.18 19.50 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.10 0.00 19.50 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 19.68 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.09 0.00 19.68 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.19 19.88 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.10 0.00 19.88 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.17 20.04 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.06 0.00 20.04 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 20.20 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.08 0.00 20.20 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.36 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.07 0.00 20.36 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.52 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.07 0.00 20.52 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 20.69 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.09 0.00 20.69 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 20.87 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.09 0.00 20.87 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.04 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.08 0.00 21.04 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.21 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.08 0.00 21.21 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 21.37 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.07 0.00 21.37 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.22 21.58 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.14 0.00 21.58 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.28 21.86 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.19 0.00 21.86 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.31 22.18 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.21 0.00 22.18 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.33 22.51 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.22 0.00 22.51 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.34 22.85 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.22 0.00 22.85 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.23 0.34 23.19 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.23 0.00 23.19 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.33 23.52 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.21 0.00 23.52 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.36 23.88 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.26 0.00 23.88 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.25 24.12 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.09 0.00 24.13 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.21 24.33 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.12 0.00 24.33 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 24.58 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.15 0.00 24.58 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 24.81 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.13 0.00 24.81 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.22 25.03 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.12 0.00 25.03 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 25.27 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.15 0.00 25.27 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 25.51 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.13 0.00 25.51 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.25 25.76 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.16 0.00 25.76 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.20 25.96 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.08 0.00 25.96 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.30 0.33 26.30 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.30 0.00 26.30 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.24 26.54 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.07 0.00 26.54 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.19 26.72 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.11 0.00 26.72 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 26.92 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.09 0.00 26.92 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 27.09 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.07 0.00 27.09 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.24 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.06 0.00 27.24 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 27.40 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.08 0.00 27.40 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.56 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.07 0.00 27.56 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.72 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.07 0.00 27.72 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.87 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.06 0.00 27.87 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.01 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.06 0.00 28.01 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.16 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.06 0.00 28.16 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.31 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.06 0.00 28.31 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.46 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.06 0.00 28.46 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.61 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.06 0.00 28.61 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 28.77 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.08 0.00 28.77 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.16 28.93 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.06 0.00 28.93 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.09 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.08 0.00 29.09 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.26 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.08 0.00 29.26 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.43 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.08 0.00 29.43 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 29.61 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.09 0.00 29.61 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 29.78 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.07 0.00 29.78 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.94 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.08 0.00 29.94 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 30.18 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.17 0.00 30.18 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 30.41 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.10 0.00 30.41 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 30.59 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.08 0.00 30.59 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 30.74 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.06 0.00 30.74 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 30.89 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.07 0.00 30.89 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 31.04 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.07 0.00 31.04 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 31.20 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.08 0.00 31.20 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 31.44 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.17 0.00 31.44 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 31.67 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.10 0.00 31.67 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 31.84 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.07 0.00 31.84 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 32.01 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.08 0.00 32.01 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.18 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.09 0.00 32.18 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.37 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.09 0.00 32.37 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 32.54 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.08 0.00 32.54 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.72 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.09 0.00 32.72 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 32.88 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.07 0.00 32.88 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.22 33.10 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.16 0.00 33.11 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.34 33.45 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.26 0.00 33.45 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 33.88 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.25 0.01 33.88 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.44 34.33 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.28 0.00 34.33 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 34.76 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.25 0.00 34.76 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.35 0.49 35.25 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.35 0.01 35.26 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.47 35.73 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.27 0.00 35.74 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.45 36.19 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.28 0.01 36.19 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.26 0.44 36.63 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.26 0.00 36.64 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.72 0.75 37.39 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.72 0.03 37.42 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.09 0.46 37.88 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.09 0.00 37.88 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.20 38.08 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.12 0.00 38.08 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.20 38.28 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.10 0.00 38.28 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 38.46 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.08 0.00 38.46 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 38.62 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.07 0.00 38.62 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 38.77 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.07 0.00 38.77 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 38.92 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.06 0.00 38.92 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.08 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.07 0.00 39.08 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 39.24 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.08 0.00 39.24 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.41 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.08 0.00 39.41 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.57 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.08 0.00 39.57 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 39.73 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.07 0.00 39.73 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.88 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.07 0.00 39.88 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 40.05 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.09 0.00 40.05 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.22 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.08 0.00 40.22 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.39 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.08 0.00 40.39 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.55 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.07 0.00 40.55 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 40.70 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.07 0.00 40.70 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.86 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.07 0.00 40.86 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.24 41.10 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.07 0.00 41.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.86 0.78 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.86 0.01 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.58 42.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.A_buf[3] (net) + 0.18 0.00 42.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4) + 0.12 0.49 42.96 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4) + 1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN (net) + 0.12 0.00 42.96 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.19 0.31 43.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN_buf (net) + 0.19 0.00 43.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/D (sky130_fd_sc_hd__and4bb_2) + 0.10 0.53 43.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/X (sky130_fd_sc_hd__and4bb_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SEL (net) + 0.10 0.00 43.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.65 0.64 44.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[0].B.SEL (net) + 0.65 0.01 44.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.41 0.63 45.08 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SEL_B (net) + 0.41 0.00 45.08 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[5].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 4.22 3.43 48.51 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[5].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_pre[13] (net) + 4.23 0.08 48.59 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[13]/D (sky130_fd_sc_hd__dfxtp_1) + 48.59 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 3.27 2.54 32.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.73 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 3.27 0.03 32.83 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[13]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 32.58 clock uncertainty + 1.22 33.80 clock reconvergence pessimism + -0.41 33.39 library setup time + 33.39 data required time +----------------------------------------------------------------------------- + 33.39 data required time + -48.59 data arrival time +----------------------------------------------------------------------------- + -15.21 slack (VIOLATED) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[8] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.30 1.18 11.99 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 1.30 0.03 12.02 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.71 12.72 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.12 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.26 0.00 13.59 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.12 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.12 0.00 14.10 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.14 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.14 0.01 14.45 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.38 0.48 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.50 0.18 15.11 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 2.20 1.84 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 2.22 0.18 17.13 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.53 1.54 18.67 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.56 0.10 18.77 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.12 0.21 18.98 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.12 0.00 18.98 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.18 19.16 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.07 0.00 19.16 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 19.32 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.07 0.00 19.32 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.18 19.50 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.10 0.00 19.50 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 19.68 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.09 0.00 19.68 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.19 19.88 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.10 0.00 19.88 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.17 20.04 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.06 0.00 20.04 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 20.20 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.08 0.00 20.20 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.36 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.07 0.00 20.36 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.52 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.07 0.00 20.52 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 20.69 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.09 0.00 20.69 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 20.87 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.09 0.00 20.87 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.04 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.08 0.00 21.04 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.21 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.08 0.00 21.21 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 21.37 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.07 0.00 21.37 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.22 21.58 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.14 0.00 21.58 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.28 21.86 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.19 0.00 21.86 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.31 22.18 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.21 0.00 22.18 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.33 22.51 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.22 0.00 22.51 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.34 22.85 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.22 0.00 22.85 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.23 0.34 23.19 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.23 0.00 23.19 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.33 23.52 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.21 0.00 23.52 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.36 23.88 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.26 0.00 23.88 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.25 24.12 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.09 0.00 24.13 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.21 24.33 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.12 0.00 24.33 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 24.58 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.15 0.00 24.58 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 24.81 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.13 0.00 24.81 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.22 25.03 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.12 0.00 25.03 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 25.27 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.15 0.00 25.27 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 25.51 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.13 0.00 25.51 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.25 25.76 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.16 0.00 25.76 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.20 25.96 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.08 0.00 25.96 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.30 0.33 26.30 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.30 0.00 26.30 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.24 26.54 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.07 0.00 26.54 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.19 26.72 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.11 0.00 26.72 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 26.92 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.09 0.00 26.92 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 27.09 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.07 0.00 27.09 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.24 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.06 0.00 27.24 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 27.40 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.08 0.00 27.40 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.56 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.07 0.00 27.56 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.72 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.07 0.00 27.72 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.87 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.06 0.00 27.87 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.01 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.06 0.00 28.01 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.16 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.06 0.00 28.16 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.31 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.06 0.00 28.31 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.46 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.06 0.00 28.46 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.61 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.06 0.00 28.61 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 28.77 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.08 0.00 28.77 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.16 28.93 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.06 0.00 28.93 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.09 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.08 0.00 29.09 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.26 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.08 0.00 29.26 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.43 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.08 0.00 29.43 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 29.61 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.09 0.00 29.61 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 29.78 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.07 0.00 29.78 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.94 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.08 0.00 29.94 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 30.18 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.17 0.00 30.18 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 30.41 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.10 0.00 30.41 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 30.59 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.08 0.00 30.59 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 30.74 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.06 0.00 30.74 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 30.89 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.07 0.00 30.89 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 31.04 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.07 0.00 31.04 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 31.20 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.08 0.00 31.20 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 31.44 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.17 0.00 31.44 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 31.67 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.10 0.00 31.67 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 31.84 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.07 0.00 31.84 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 32.01 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.08 0.00 32.01 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.18 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.09 0.00 32.18 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.37 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.09 0.00 32.37 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 32.54 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.08 0.00 32.54 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.72 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.09 0.00 32.72 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 32.88 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.07 0.00 32.88 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.22 33.10 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.16 0.00 33.11 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.34 33.45 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.26 0.00 33.45 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 33.88 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.25 0.01 33.88 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.44 34.33 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.28 0.00 34.33 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 34.76 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.25 0.00 34.76 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.35 0.49 35.25 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.35 0.01 35.26 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.47 35.73 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.27 0.00 35.74 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.45 36.19 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.28 0.01 36.19 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.26 0.44 36.63 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.26 0.00 36.64 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.72 0.75 37.39 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.72 0.03 37.42 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.09 0.46 37.88 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.09 0.00 37.88 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.20 38.08 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.12 0.00 38.08 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.20 38.28 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.10 0.00 38.28 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 38.46 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.08 0.00 38.46 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 38.62 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.07 0.00 38.62 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 38.77 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.07 0.00 38.77 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 38.92 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.06 0.00 38.92 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.08 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.07 0.00 39.08 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 39.24 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.08 0.00 39.24 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.41 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.08 0.00 39.41 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.57 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.08 0.00 39.57 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 39.73 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.07 0.00 39.73 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.88 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.07 0.00 39.88 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 40.05 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.09 0.00 40.05 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.22 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.08 0.00 40.22 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.39 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.08 0.00 40.39 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.55 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.07 0.00 40.55 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 40.70 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.07 0.00 40.70 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.86 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.07 0.00 40.86 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.24 41.10 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.07 0.00 41.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.86 0.78 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.86 0.01 41.89 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.58 42.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net) + 0.18 0.00 42.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4) + 0.09 0.44 42.91 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN (net) + 0.09 0.00 42.91 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.31 43.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN_buf (net) + 0.20 0.00 43.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/D (sky130_fd_sc_hd__and4b_2) + 0.10 0.53 43.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/X (sky130_fd_sc_hd__and4b_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SEL (net) + 0.10 0.00 43.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.68 0.66 44.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[0].B.SEL (net) + 0.68 0.00 44.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.41 0.65 45.07 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SEL_B (net) + 0.41 0.00 45.07 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[0].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 4.19 3.41 48.49 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[0].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[8] (net) + 4.19 0.07 48.56 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[8]/D (sky130_fd_sc_hd__dfxtp_1) + 48.56 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 3.27 2.54 32.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.73 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 3.27 0.04 32.84 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[8]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 32.59 clock uncertainty + 1.22 33.81 clock reconvergence pessimism + -0.41 33.40 library setup time + 33.40 data required time +----------------------------------------------------------------------------- + 33.40 data required time + -48.56 data arrival time +----------------------------------------------------------------------------- + -15.16 slack (VIOLATED) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[11] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.30 1.18 11.99 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 1.30 0.03 12.02 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.71 12.72 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.12 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.26 0.00 13.59 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.12 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.12 0.00 14.10 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.14 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.14 0.01 14.45 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.38 0.48 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.50 0.18 15.11 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 2.20 1.84 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 2.22 0.18 17.13 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.53 1.54 18.67 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.56 0.10 18.77 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.12 0.21 18.98 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.12 0.00 18.98 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.18 19.16 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.07 0.00 19.16 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 19.32 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.07 0.00 19.32 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.18 19.50 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.10 0.00 19.50 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 19.68 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.09 0.00 19.68 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.19 19.88 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.10 0.00 19.88 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.17 20.04 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.06 0.00 20.04 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 20.20 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.08 0.00 20.20 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.36 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.07 0.00 20.36 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.52 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.07 0.00 20.52 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 20.69 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.09 0.00 20.69 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 20.87 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.09 0.00 20.87 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.04 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.08 0.00 21.04 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.21 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.08 0.00 21.21 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 21.37 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.07 0.00 21.37 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.22 21.58 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.14 0.00 21.58 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.28 21.86 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.19 0.00 21.86 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.31 22.18 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.21 0.00 22.18 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.33 22.51 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.22 0.00 22.51 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.34 22.85 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.22 0.00 22.85 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.23 0.34 23.19 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.23 0.00 23.19 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.33 23.52 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.21 0.00 23.52 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.36 23.88 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.26 0.00 23.88 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.25 24.12 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.09 0.00 24.13 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.21 24.33 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.12 0.00 24.33 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 24.58 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.15 0.00 24.58 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 24.81 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.13 0.00 24.81 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.22 25.03 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.12 0.00 25.03 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 25.27 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.15 0.00 25.27 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 25.51 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.13 0.00 25.51 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.25 25.76 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.16 0.00 25.76 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.20 25.96 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.08 0.00 25.96 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.30 0.33 26.30 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.30 0.00 26.30 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.24 26.54 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.07 0.00 26.54 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.19 26.72 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.11 0.00 26.72 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 26.92 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.09 0.00 26.92 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 27.09 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.07 0.00 27.09 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.24 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.06 0.00 27.24 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 27.40 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.08 0.00 27.40 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.56 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.07 0.00 27.56 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.72 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.07 0.00 27.72 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.87 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.06 0.00 27.87 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.01 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.06 0.00 28.01 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.16 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.06 0.00 28.16 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.31 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.06 0.00 28.31 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.46 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.06 0.00 28.46 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.61 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.06 0.00 28.61 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 28.77 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.08 0.00 28.77 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.16 28.93 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.06 0.00 28.93 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.09 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.08 0.00 29.09 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.26 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.08 0.00 29.26 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.43 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.08 0.00 29.43 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 29.61 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.09 0.00 29.61 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 29.78 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.07 0.00 29.78 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.94 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.08 0.00 29.94 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 30.18 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.17 0.00 30.18 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 30.41 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.10 0.00 30.41 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 30.59 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.08 0.00 30.59 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 30.74 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.06 0.00 30.74 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 30.89 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.07 0.00 30.89 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 31.04 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.07 0.00 31.04 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 31.20 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.08 0.00 31.20 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 31.44 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.17 0.00 31.44 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 31.67 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.10 0.00 31.67 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 31.84 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.07 0.00 31.84 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 32.01 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.08 0.00 32.01 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.18 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.09 0.00 32.18 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.37 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.09 0.00 32.37 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 32.54 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.08 0.00 32.54 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.72 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.09 0.00 32.72 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 32.88 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.07 0.00 32.88 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.22 33.10 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.16 0.00 33.11 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.34 33.45 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.26 0.00 33.45 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 33.88 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.25 0.01 33.88 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.44 34.33 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.28 0.00 34.33 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 34.76 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.25 0.00 34.76 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.35 0.49 35.25 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.35 0.01 35.26 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.47 35.73 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.27 0.00 35.74 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.45 36.19 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.28 0.01 36.19 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.26 0.44 36.63 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.26 0.00 36.64 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.72 0.75 37.39 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.72 0.03 37.42 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.09 0.46 37.88 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.09 0.00 37.88 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.20 38.08 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.12 0.00 38.08 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.20 38.28 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.10 0.00 38.28 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 38.46 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.08 0.00 38.46 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 38.62 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.07 0.00 38.62 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 38.77 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.07 0.00 38.77 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 38.92 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.06 0.00 38.92 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.08 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.07 0.00 39.08 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 39.24 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.08 0.00 39.24 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.41 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.08 0.00 39.41 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.57 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.08 0.00 39.57 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 39.73 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.07 0.00 39.73 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.88 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.07 0.00 39.88 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 40.05 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.09 0.00 40.05 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.22 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.08 0.00 40.22 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.39 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.08 0.00 40.39 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.55 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.07 0.00 40.55 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 40.70 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.07 0.00 40.70 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.86 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.07 0.00 40.86 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.24 41.10 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.07 0.00 41.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.86 0.78 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.87 0.02 41.90 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.58 42.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.A_buf[3] (net) + 0.18 0.00 42.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4) + 0.09 0.45 42.93 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.EN (net) + 0.09 0.00 42.93 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.29 43.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.EN_buf (net) + 0.17 0.00 43.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.AND0/D_N (sky130_fd_sc_hd__nor4b_2) + 0.33 0.41 43.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.AND0/Y (sky130_fd_sc_hd__nor4b_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SEL (net) + 0.33 0.00 43.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.80 0.86 44.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.SEL (net) + 0.80 0.01 44.49 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.43 0.68 45.17 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.SEL_B (net) + 0.43 0.00 45.17 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.BIT[3].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 4.11 3.34 48.51 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.BIT[3].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_pre[11] (net) + 4.11 0.08 48.60 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[11]/D (sky130_fd_sc_hd__dfxtp_1) + 48.60 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 3.27 2.54 32.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.73 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 3.27 0.08 32.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[11]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 32.63 clock uncertainty + 1.22 33.85 clock reconvergence pessimism + -0.40 33.45 library setup time + 33.45 data required time +----------------------------------------------------------------------------- + 33.45 data required time + -48.60 data arrival time +----------------------------------------------------------------------------- + -15.15 slack (VIOLATED) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[10] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.30 1.18 11.99 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 1.30 0.03 12.02 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.71 12.72 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.12 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.26 0.00 13.59 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.12 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.12 0.00 14.10 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.14 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.14 0.01 14.45 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.38 0.48 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.50 0.18 15.11 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 2.20 1.84 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 2.22 0.18 17.13 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.53 1.54 18.67 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.56 0.10 18.77 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.12 0.21 18.98 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.12 0.00 18.98 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.18 19.16 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.07 0.00 19.16 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 19.32 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.07 0.00 19.32 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.18 19.50 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.10 0.00 19.50 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 19.68 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.09 0.00 19.68 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.19 19.88 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.10 0.00 19.88 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.17 20.04 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.06 0.00 20.04 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 20.20 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.08 0.00 20.20 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.36 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.07 0.00 20.36 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.52 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.07 0.00 20.52 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 20.69 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.09 0.00 20.69 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 20.87 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.09 0.00 20.87 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.04 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.08 0.00 21.04 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.21 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.08 0.00 21.21 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 21.37 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.07 0.00 21.37 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.22 21.58 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.14 0.00 21.58 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.28 21.86 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.19 0.00 21.86 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.31 22.18 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.21 0.00 22.18 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.33 22.51 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.22 0.00 22.51 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.34 22.85 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.22 0.00 22.85 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.23 0.34 23.19 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.23 0.00 23.19 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.33 23.52 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.21 0.00 23.52 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.36 23.88 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.26 0.00 23.88 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.25 24.12 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.09 0.00 24.13 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.21 24.33 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.12 0.00 24.33 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 24.58 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.15 0.00 24.58 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 24.81 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.13 0.00 24.81 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.22 25.03 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.12 0.00 25.03 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 25.27 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.15 0.00 25.27 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 25.51 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.13 0.00 25.51 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.25 25.76 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.16 0.00 25.76 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.20 25.96 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.08 0.00 25.96 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.30 0.33 26.30 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.30 0.00 26.30 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.24 26.54 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.07 0.00 26.54 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.19 26.72 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.11 0.00 26.72 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 26.92 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.09 0.00 26.92 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 27.09 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.07 0.00 27.09 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.24 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.06 0.00 27.24 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 27.40 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.08 0.00 27.40 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.56 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.07 0.00 27.56 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.72 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.07 0.00 27.72 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.87 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.06 0.00 27.87 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.01 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.06 0.00 28.01 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.16 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.06 0.00 28.16 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.31 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.06 0.00 28.31 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.46 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.06 0.00 28.46 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.61 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.06 0.00 28.61 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 28.77 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.08 0.00 28.77 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.16 28.93 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.06 0.00 28.93 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.09 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.08 0.00 29.09 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.26 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.08 0.00 29.26 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.43 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.08 0.00 29.43 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 29.61 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.09 0.00 29.61 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 29.78 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.07 0.00 29.78 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.94 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.08 0.00 29.94 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 30.18 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.17 0.00 30.18 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 30.41 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.10 0.00 30.41 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 30.59 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.08 0.00 30.59 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 30.74 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.06 0.00 30.74 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 30.89 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.07 0.00 30.89 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 31.04 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.07 0.00 31.04 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 31.20 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.08 0.00 31.20 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 31.44 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.17 0.00 31.44 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 31.67 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.10 0.00 31.67 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 31.84 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.07 0.00 31.84 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 32.01 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.08 0.00 32.01 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.18 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.09 0.00 32.18 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.37 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.09 0.00 32.37 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 32.54 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.08 0.00 32.54 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.72 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.09 0.00 32.72 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 32.88 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.07 0.00 32.88 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.22 33.10 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.16 0.00 33.11 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.34 33.45 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.26 0.00 33.45 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 33.88 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.25 0.01 33.88 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.44 34.33 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.28 0.00 34.33 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 34.76 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.25 0.00 34.76 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.35 0.49 35.25 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.35 0.01 35.26 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.47 35.73 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.27 0.00 35.74 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.45 36.19 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.28 0.01 36.19 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.26 0.44 36.63 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.26 0.00 36.64 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.72 0.75 37.39 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.72 0.03 37.42 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.09 0.46 37.88 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.09 0.00 37.88 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.20 38.08 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.12 0.00 38.08 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.20 38.28 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.10 0.00 38.28 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 38.46 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.08 0.00 38.46 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 38.62 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.07 0.00 38.62 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 38.77 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.07 0.00 38.77 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 38.92 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.06 0.00 38.92 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.08 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.07 0.00 39.08 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 39.24 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.08 0.00 39.24 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.41 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.08 0.00 39.41 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.57 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.08 0.00 39.57 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 39.73 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.07 0.00 39.73 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.88 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.07 0.00 39.88 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 40.05 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.09 0.00 40.05 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.22 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.08 0.00 40.22 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.39 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.08 0.00 40.39 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.55 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.07 0.00 40.55 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 40.70 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.07 0.00 40.70 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.86 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.07 0.00 40.86 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.24 41.10 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.07 0.00 41.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.86 0.78 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.87 0.02 41.90 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.58 42.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.A_buf[3] (net) + 0.18 0.00 42.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4) + 0.09 0.45 42.93 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.EN (net) + 0.09 0.00 42.93 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.29 43.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.EN_buf (net) + 0.17 0.00 43.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.AND0/D_N (sky130_fd_sc_hd__nor4b_2) + 0.33 0.41 43.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.AND0/Y (sky130_fd_sc_hd__nor4b_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SEL (net) + 0.33 0.00 43.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.80 0.86 44.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.SEL (net) + 0.80 0.01 44.49 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.43 0.68 45.17 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.SEL_B (net) + 0.43 0.00 45.17 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.BIT[2].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 4.08 3.33 48.50 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.BIT[2].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_pre[10] (net) + 4.09 0.08 48.58 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[10]/D (sky130_fd_sc_hd__dfxtp_1) + 48.58 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 3.27 2.54 32.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.73 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 3.27 0.08 32.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[10]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 32.63 clock uncertainty + 1.22 33.85 clock reconvergence pessimism + -0.40 33.45 library setup time + 33.45 data required time +----------------------------------------------------------------------------- + 33.45 data required time + -48.58 data arrival time +----------------------------------------------------------------------------- + -15.13 slack (VIOLATED) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[11] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.30 1.18 11.99 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 1.30 0.03 12.02 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.71 12.72 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.12 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.26 0.00 13.59 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.12 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.12 0.00 14.10 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.14 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.14 0.01 14.45 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.38 0.48 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.50 0.18 15.11 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 2.20 1.84 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 2.22 0.18 17.13 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.53 1.54 18.67 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.56 0.10 18.77 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.12 0.21 18.98 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.12 0.00 18.98 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.18 19.16 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.07 0.00 19.16 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 19.32 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.07 0.00 19.32 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.18 19.50 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.10 0.00 19.50 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 19.68 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.09 0.00 19.68 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.19 19.88 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.10 0.00 19.88 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.17 20.04 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.06 0.00 20.04 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 20.20 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.08 0.00 20.20 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.36 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.07 0.00 20.36 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.52 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.07 0.00 20.52 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 20.69 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.09 0.00 20.69 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 20.87 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.09 0.00 20.87 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.04 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.08 0.00 21.04 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.21 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.08 0.00 21.21 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 21.37 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.07 0.00 21.37 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.22 21.58 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.14 0.00 21.58 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.28 21.86 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.19 0.00 21.86 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.31 22.18 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.21 0.00 22.18 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.33 22.51 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.22 0.00 22.51 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.34 22.85 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.22 0.00 22.85 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.23 0.34 23.19 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.23 0.00 23.19 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.33 23.52 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.21 0.00 23.52 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.36 23.88 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.26 0.00 23.88 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.25 24.12 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.09 0.00 24.13 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.21 24.33 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.12 0.00 24.33 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 24.58 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.15 0.00 24.58 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 24.81 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.13 0.00 24.81 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.22 25.03 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.12 0.00 25.03 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 25.27 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.15 0.00 25.27 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 25.51 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.13 0.00 25.51 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.25 25.76 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.16 0.00 25.76 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.20 25.96 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.08 0.00 25.96 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.30 0.33 26.30 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.30 0.00 26.30 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.24 26.54 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.07 0.00 26.54 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.19 26.72 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.11 0.00 26.72 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 26.92 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.09 0.00 26.92 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 27.09 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.07 0.00 27.09 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.24 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.06 0.00 27.24 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 27.40 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.08 0.00 27.40 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.56 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.07 0.00 27.56 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.72 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.07 0.00 27.72 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.87 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.06 0.00 27.87 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.01 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.06 0.00 28.01 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.16 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.06 0.00 28.16 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.31 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.06 0.00 28.31 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.46 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.06 0.00 28.46 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.61 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.06 0.00 28.61 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 28.77 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.08 0.00 28.77 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.16 28.93 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.06 0.00 28.93 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.09 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.08 0.00 29.09 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.26 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.08 0.00 29.26 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.43 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.08 0.00 29.43 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 29.61 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.09 0.00 29.61 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 29.78 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.07 0.00 29.78 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.94 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.08 0.00 29.94 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 30.18 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.17 0.00 30.18 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 30.41 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.10 0.00 30.41 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 30.59 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.08 0.00 30.59 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 30.74 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.06 0.00 30.74 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 30.89 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.07 0.00 30.89 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 31.04 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.07 0.00 31.04 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 31.20 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.08 0.00 31.20 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 31.44 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.17 0.00 31.44 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 31.67 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.10 0.00 31.67 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 31.84 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.07 0.00 31.84 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 32.01 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.08 0.00 32.01 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.18 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.09 0.00 32.18 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.37 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.09 0.00 32.37 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 32.54 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.08 0.00 32.54 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.72 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.09 0.00 32.72 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 32.88 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.07 0.00 32.88 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.22 33.10 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.16 0.00 33.11 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.34 33.45 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.26 0.00 33.45 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 33.88 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.25 0.01 33.88 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.44 34.33 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.28 0.00 34.33 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 34.76 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.25 0.00 34.76 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.35 0.49 35.25 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.35 0.01 35.26 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.47 35.73 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.27 0.00 35.74 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.45 36.19 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.28 0.01 36.19 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.26 0.44 36.63 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.26 0.00 36.64 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.72 0.75 37.39 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.72 0.03 37.42 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.09 0.46 37.88 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.09 0.00 37.88 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.20 38.08 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.12 0.00 38.08 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.20 38.28 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.10 0.00 38.28 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 38.46 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.08 0.00 38.46 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 38.62 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.07 0.00 38.62 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 38.77 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.07 0.00 38.77 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 38.92 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.06 0.00 38.92 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.08 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.07 0.00 39.08 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 39.24 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.08 0.00 39.24 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.41 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.08 0.00 39.41 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.57 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.08 0.00 39.57 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 39.73 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.07 0.00 39.73 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.88 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.07 0.00 39.88 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 40.05 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.09 0.00 40.05 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.22 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.08 0.00 40.22 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.39 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.08 0.00 40.39 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.55 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.07 0.00 40.55 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 40.70 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.07 0.00 40.70 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.86 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.07 0.00 40.86 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.24 41.10 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.07 0.00 41.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.86 0.78 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.86 0.01 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.58 42.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.A_buf[3] (net) + 0.18 0.00 42.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4) + 0.12 0.49 42.96 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4) + 1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN (net) + 0.12 0.00 42.96 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.19 0.31 43.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN_buf (net) + 0.19 0.00 43.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/D (sky130_fd_sc_hd__and4bb_2) + 0.10 0.53 43.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/X (sky130_fd_sc_hd__and4bb_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SEL (net) + 0.10 0.00 43.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.65 0.64 44.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[0].B.SEL (net) + 0.65 0.01 44.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.41 0.63 45.08 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SEL_B (net) + 0.41 0.00 45.08 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[3].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 4.12 3.36 48.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[3].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_pre[11] (net) + 4.12 0.07 48.51 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[11]/D (sky130_fd_sc_hd__dfxtp_1) + 48.51 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 3.27 2.54 32.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.73 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 3.27 0.04 32.84 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[11]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 32.59 clock uncertainty + 1.22 33.81 clock reconvergence pessimism + -0.40 33.41 library setup time + 33.41 data required time +----------------------------------------------------------------------------- + 33.41 data required time + -48.51 data arrival time +----------------------------------------------------------------------------- + -15.10 slack (VIOLATED) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[14] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.30 1.18 11.99 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 1.30 0.03 12.02 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.71 12.72 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.12 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.26 0.00 13.59 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.12 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.12 0.00 14.10 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.14 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.14 0.01 14.45 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.38 0.48 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.50 0.18 15.11 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 2.20 1.84 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 2.22 0.18 17.13 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.53 1.54 18.67 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.56 0.10 18.77 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.12 0.21 18.98 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.12 0.00 18.98 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.18 19.16 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.07 0.00 19.16 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 19.32 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.07 0.00 19.32 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.18 19.50 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.10 0.00 19.50 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 19.68 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.09 0.00 19.68 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.19 19.88 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.10 0.00 19.88 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.17 20.04 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.06 0.00 20.04 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 20.20 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.08 0.00 20.20 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.36 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.07 0.00 20.36 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.52 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.07 0.00 20.52 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 20.69 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.09 0.00 20.69 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 20.87 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.09 0.00 20.87 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.04 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.08 0.00 21.04 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.21 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.08 0.00 21.21 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 21.37 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.07 0.00 21.37 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.22 21.58 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.14 0.00 21.58 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.28 21.86 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.19 0.00 21.86 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.31 22.18 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.21 0.00 22.18 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.33 22.51 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.22 0.00 22.51 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.34 22.85 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.22 0.00 22.85 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.23 0.34 23.19 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.23 0.00 23.19 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.33 23.52 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.21 0.00 23.52 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.36 23.88 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.26 0.00 23.88 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.25 24.12 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.09 0.00 24.13 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.21 24.33 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.12 0.00 24.33 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 24.58 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.15 0.00 24.58 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 24.81 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.13 0.00 24.81 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.22 25.03 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.12 0.00 25.03 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 25.27 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.15 0.00 25.27 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 25.51 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.13 0.00 25.51 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.25 25.76 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.16 0.00 25.76 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.20 25.96 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.08 0.00 25.96 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.30 0.33 26.30 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.30 0.00 26.30 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.24 26.54 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.07 0.00 26.54 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.19 26.72 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.11 0.00 26.72 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 26.92 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.09 0.00 26.92 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 27.09 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.07 0.00 27.09 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.24 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.06 0.00 27.24 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 27.40 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.08 0.00 27.40 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.56 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.07 0.00 27.56 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.72 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.07 0.00 27.72 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.87 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.06 0.00 27.87 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.01 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.06 0.00 28.01 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.16 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.06 0.00 28.16 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.31 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.06 0.00 28.31 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.46 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.06 0.00 28.46 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.61 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.06 0.00 28.61 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 28.77 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.08 0.00 28.77 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.16 28.93 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.06 0.00 28.93 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.09 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.08 0.00 29.09 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.26 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.08 0.00 29.26 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.43 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.08 0.00 29.43 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 29.61 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.09 0.00 29.61 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 29.78 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.07 0.00 29.78 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.94 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.08 0.00 29.94 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 30.18 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.17 0.00 30.18 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 30.41 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.10 0.00 30.41 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 30.59 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.08 0.00 30.59 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 30.74 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.06 0.00 30.74 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 30.89 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.07 0.00 30.89 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 31.04 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.07 0.00 31.04 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 31.20 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.08 0.00 31.20 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 31.44 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.17 0.00 31.44 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 31.67 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.10 0.00 31.67 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 31.84 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.07 0.00 31.84 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 32.01 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.08 0.00 32.01 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.18 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.09 0.00 32.18 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.37 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.09 0.00 32.37 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 32.54 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.08 0.00 32.54 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.72 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.09 0.00 32.72 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 32.88 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.07 0.00 32.88 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.22 33.10 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.16 0.00 33.11 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.34 33.45 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.26 0.00 33.45 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 33.88 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.25 0.01 33.88 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.44 34.33 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.28 0.00 34.33 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 34.76 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.25 0.00 34.76 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.35 0.49 35.25 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.35 0.01 35.26 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.47 35.73 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.27 0.00 35.74 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.45 36.19 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.28 0.01 36.19 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.26 0.44 36.63 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.26 0.00 36.64 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.72 0.75 37.39 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.72 0.03 37.42 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.09 0.46 37.88 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.09 0.00 37.88 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.20 38.08 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.12 0.00 38.08 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.20 38.28 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.10 0.00 38.28 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 38.46 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.08 0.00 38.46 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 38.62 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.07 0.00 38.62 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 38.77 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.07 0.00 38.77 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 38.92 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.06 0.00 38.92 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.08 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.07 0.00 39.08 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 39.24 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.08 0.00 39.24 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.41 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.08 0.00 39.41 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.57 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.08 0.00 39.57 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 39.73 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.07 0.00 39.73 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.88 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.07 0.00 39.88 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 40.05 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.09 0.00 40.05 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.22 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.08 0.00 40.22 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.39 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.08 0.00 40.39 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.55 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.07 0.00 40.55 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 40.70 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.07 0.00 40.70 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.86 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.07 0.00 40.86 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.24 41.10 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.07 0.00 41.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.86 0.78 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.87 0.02 41.90 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.58 42.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.A_buf[3] (net) + 0.18 0.00 42.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4) + 0.09 0.45 42.93 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.EN (net) + 0.09 0.00 42.93 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.29 43.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.EN_buf (net) + 0.17 0.00 43.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.AND0/D_N (sky130_fd_sc_hd__nor4b_2) + 0.33 0.41 43.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.AND0/Y (sky130_fd_sc_hd__nor4b_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SEL (net) + 0.33 0.00 43.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.80 0.86 44.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.SEL (net) + 0.80 0.01 44.49 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.43 0.68 45.17 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.SEL_B (net) + 0.43 0.00 45.17 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.BIT[6].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 4.06 3.31 48.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.BIT[6].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_pre[14] (net) + 4.06 0.07 48.55 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[14]/D (sky130_fd_sc_hd__dfxtp_1) + 48.55 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 3.27 2.54 32.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.73 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 3.27 0.08 32.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[14]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 32.63 clock uncertainty + 1.22 33.85 clock reconvergence pessimism + -0.39 33.46 library setup time + 33.46 data required time +----------------------------------------------------------------------------- + 33.46 data required time + -48.55 data arrival time +----------------------------------------------------------------------------- + -15.10 slack (VIOLATED) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[12] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.30 1.18 11.99 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 1.30 0.03 12.02 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.71 12.72 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.12 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.26 0.00 13.59 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.12 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.12 0.00 14.10 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.14 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.14 0.01 14.45 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.38 0.48 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.50 0.18 15.11 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 2.20 1.84 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 2.22 0.18 17.13 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.53 1.54 18.67 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.56 0.10 18.77 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.12 0.21 18.98 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.12 0.00 18.98 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.18 19.16 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.07 0.00 19.16 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 19.32 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.07 0.00 19.32 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.18 19.50 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.10 0.00 19.50 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 19.68 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.09 0.00 19.68 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.19 19.88 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.10 0.00 19.88 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.17 20.04 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.06 0.00 20.04 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 20.20 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.08 0.00 20.20 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.36 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.07 0.00 20.36 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.52 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.07 0.00 20.52 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 20.69 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.09 0.00 20.69 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 20.87 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.09 0.00 20.87 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.04 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.08 0.00 21.04 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.21 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.08 0.00 21.21 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 21.37 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.07 0.00 21.37 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.22 21.58 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.14 0.00 21.58 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.28 21.86 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.19 0.00 21.86 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.31 22.18 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.21 0.00 22.18 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.33 22.51 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.22 0.00 22.51 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.34 22.85 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.22 0.00 22.85 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.23 0.34 23.19 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.23 0.00 23.19 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.33 23.52 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.21 0.00 23.52 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.36 23.88 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.26 0.00 23.88 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.25 24.12 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.09 0.00 24.13 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.21 24.33 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.12 0.00 24.33 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 24.58 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.15 0.00 24.58 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 24.81 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.13 0.00 24.81 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.22 25.03 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.12 0.00 25.03 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 25.27 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.15 0.00 25.27 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 25.51 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.13 0.00 25.51 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.25 25.76 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.16 0.00 25.76 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.20 25.96 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.08 0.00 25.96 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.30 0.33 26.30 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.30 0.00 26.30 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.24 26.54 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.07 0.00 26.54 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.19 26.72 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.11 0.00 26.72 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 26.92 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.09 0.00 26.92 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 27.09 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.07 0.00 27.09 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.24 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.06 0.00 27.24 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 27.40 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.08 0.00 27.40 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.56 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.07 0.00 27.56 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.72 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.07 0.00 27.72 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.87 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.06 0.00 27.87 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.01 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.06 0.00 28.01 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.16 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.06 0.00 28.16 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.31 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.06 0.00 28.31 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.46 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.06 0.00 28.46 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.61 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.06 0.00 28.61 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 28.77 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.08 0.00 28.77 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.16 28.93 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.06 0.00 28.93 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.09 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.08 0.00 29.09 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.26 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.08 0.00 29.26 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.43 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.08 0.00 29.43 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 29.61 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.09 0.00 29.61 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 29.78 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.07 0.00 29.78 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.94 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.08 0.00 29.94 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 30.18 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.17 0.00 30.18 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 30.41 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.10 0.00 30.41 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 30.59 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.08 0.00 30.59 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 30.74 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.06 0.00 30.74 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 30.89 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.07 0.00 30.89 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 31.04 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.07 0.00 31.04 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 31.20 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.08 0.00 31.20 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 31.44 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.17 0.00 31.44 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 31.67 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.10 0.00 31.67 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 31.84 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.07 0.00 31.84 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 32.01 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.08 0.00 32.01 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.18 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.09 0.00 32.18 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.37 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.09 0.00 32.37 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 32.54 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.08 0.00 32.54 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.72 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.09 0.00 32.72 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 32.88 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.07 0.00 32.88 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.22 33.10 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.16 0.00 33.11 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.34 33.45 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.26 0.00 33.45 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 33.88 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.25 0.01 33.88 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.44 34.33 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.28 0.00 34.33 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 34.76 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.25 0.00 34.76 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.35 0.49 35.25 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.35 0.01 35.26 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.47 35.73 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.27 0.00 35.74 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.45 36.19 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.28 0.01 36.19 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.26 0.44 36.63 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.26 0.00 36.64 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.72 0.75 37.39 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.72 0.03 37.42 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.09 0.46 37.88 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.09 0.00 37.88 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.20 38.08 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.12 0.00 38.08 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.20 38.28 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.10 0.00 38.28 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 38.46 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.08 0.00 38.46 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 38.62 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.07 0.00 38.62 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 38.77 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.07 0.00 38.77 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 38.92 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.06 0.00 38.92 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.08 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.07 0.00 39.08 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 39.24 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.08 0.00 39.24 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.41 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.08 0.00 39.41 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.57 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.08 0.00 39.57 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 39.73 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.07 0.00 39.73 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.88 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.07 0.00 39.88 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 40.05 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.09 0.00 40.05 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.22 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.08 0.00 40.22 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.39 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.08 0.00 40.39 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.55 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.07 0.00 40.55 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 40.70 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.07 0.00 40.70 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.86 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.07 0.00 40.86 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.24 41.10 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.07 0.00 41.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.86 0.78 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.86 0.01 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.58 42.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.A_buf[3] (net) + 0.18 0.00 42.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4) + 0.12 0.49 42.96 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4) + 1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN (net) + 0.12 0.00 42.96 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.19 0.31 43.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN_buf (net) + 0.19 0.00 43.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/D (sky130_fd_sc_hd__and4bb_2) + 0.10 0.53 43.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/X (sky130_fd_sc_hd__and4bb_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SEL (net) + 0.10 0.00 43.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.65 0.64 44.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[0].B.SEL (net) + 0.65 0.01 44.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.41 0.63 45.08 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SEL_B (net) + 0.41 0.00 45.08 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[4].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 4.12 3.36 48.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[4].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_pre[12] (net) + 4.13 0.05 48.49 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[12]/D (sky130_fd_sc_hd__dfxtp_1) + 48.49 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 3.27 2.54 32.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.73 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 3.27 0.04 32.84 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[12]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 32.59 clock uncertainty + 1.22 33.81 clock reconvergence pessimism + -0.40 33.41 library setup time + 33.41 data required time +----------------------------------------------------------------------------- + 33.41 data required time + -48.49 data arrival time +----------------------------------------------------------------------------- + -15.08 slack (VIOLATED) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[11] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.30 1.18 11.99 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 1.30 0.03 12.02 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.71 12.72 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.12 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.26 0.00 13.59 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.12 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.12 0.00 14.10 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.14 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.14 0.01 14.45 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.38 0.48 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.50 0.18 15.11 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 2.20 1.84 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 2.22 0.18 17.13 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.53 1.54 18.67 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.56 0.10 18.77 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.12 0.21 18.98 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.12 0.00 18.98 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.18 19.16 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.07 0.00 19.16 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 19.32 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.07 0.00 19.32 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.18 19.50 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.10 0.00 19.50 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 19.68 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.09 0.00 19.68 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.19 19.88 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.10 0.00 19.88 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.17 20.04 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.06 0.00 20.04 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 20.20 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.08 0.00 20.20 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.36 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.07 0.00 20.36 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.52 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.07 0.00 20.52 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 20.69 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.09 0.00 20.69 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 20.87 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.09 0.00 20.87 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.04 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.08 0.00 21.04 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.21 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.08 0.00 21.21 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 21.37 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.07 0.00 21.37 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.22 21.58 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.14 0.00 21.58 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.28 21.86 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.19 0.00 21.86 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.31 22.18 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.21 0.00 22.18 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.33 22.51 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.22 0.00 22.51 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.34 22.85 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.22 0.00 22.85 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.23 0.34 23.19 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.23 0.00 23.19 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.33 23.52 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.21 0.00 23.52 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.36 23.88 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.26 0.00 23.88 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.25 24.12 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.09 0.00 24.13 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.21 24.33 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.12 0.00 24.33 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 24.58 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.15 0.00 24.58 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 24.81 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.13 0.00 24.81 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.22 25.03 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.12 0.00 25.03 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 25.27 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.15 0.00 25.27 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 25.51 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.13 0.00 25.51 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.25 25.76 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.16 0.00 25.76 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.20 25.96 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.08 0.00 25.96 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.30 0.33 26.30 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.30 0.00 26.30 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.24 26.54 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.07 0.00 26.54 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.19 26.72 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.11 0.00 26.72 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 26.92 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.09 0.00 26.92 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 27.09 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.07 0.00 27.09 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.24 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.06 0.00 27.24 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 27.40 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.08 0.00 27.40 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.56 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.07 0.00 27.56 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.72 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.07 0.00 27.72 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.87 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.06 0.00 27.87 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.01 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.06 0.00 28.01 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.16 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.06 0.00 28.16 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.31 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.06 0.00 28.31 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.46 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.06 0.00 28.46 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.61 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.06 0.00 28.61 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 28.77 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.08 0.00 28.77 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.16 28.93 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.06 0.00 28.93 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.09 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.08 0.00 29.09 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.26 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.08 0.00 29.26 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.43 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.08 0.00 29.43 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 29.61 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.09 0.00 29.61 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 29.78 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.07 0.00 29.78 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.94 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.08 0.00 29.94 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 30.18 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.17 0.00 30.18 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 30.41 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.10 0.00 30.41 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 30.59 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.08 0.00 30.59 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 30.74 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.06 0.00 30.74 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 30.89 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.07 0.00 30.89 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 31.04 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.07 0.00 31.04 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 31.20 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.08 0.00 31.20 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 31.44 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.17 0.00 31.44 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 31.67 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.10 0.00 31.67 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 31.84 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.07 0.00 31.84 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 32.01 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.08 0.00 32.01 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.18 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.09 0.00 32.18 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.37 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.09 0.00 32.37 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 32.54 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.08 0.00 32.54 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.72 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.09 0.00 32.72 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 32.88 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.07 0.00 32.88 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.22 33.10 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.16 0.00 33.11 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.34 33.45 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.26 0.00 33.45 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 33.88 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.25 0.01 33.88 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.44 34.33 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.28 0.00 34.33 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 34.76 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.25 0.00 34.76 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.35 0.49 35.25 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.35 0.01 35.26 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.47 35.73 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.27 0.00 35.74 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.45 36.19 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.28 0.01 36.19 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.26 0.44 36.63 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.26 0.00 36.64 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.72 0.75 37.39 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.72 0.03 37.42 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.09 0.46 37.88 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.09 0.00 37.88 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.20 38.08 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.12 0.00 38.08 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.20 38.28 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.10 0.00 38.28 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 38.46 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.08 0.00 38.46 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 38.62 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.07 0.00 38.62 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 38.77 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.07 0.00 38.77 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 38.92 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.06 0.00 38.92 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.08 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.07 0.00 39.08 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 39.24 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.08 0.00 39.24 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.41 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.08 0.00 39.41 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.57 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.08 0.00 39.57 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 39.73 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.07 0.00 39.73 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.88 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.07 0.00 39.88 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 40.05 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.09 0.00 40.05 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.22 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.08 0.00 40.22 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.39 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.08 0.00 40.39 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.55 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.07 0.00 40.55 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 40.70 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.07 0.00 40.70 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.86 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.07 0.00 40.86 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.24 41.10 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.07 0.00 41.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.86 0.78 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.86 0.00 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.58 42.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net) + 0.18 0.00 42.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4) + 0.09 0.46 42.92 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net) + 0.09 0.00 42.92 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.28 43.20 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net) + 0.16 0.00 43.20 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND7/D (sky130_fd_sc_hd__and4_2) + 0.11 0.53 43.73 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND7/X (sky130_fd_sc_hd__and4_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SEL (net) + 0.11 0.00 43.73 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.85 0.79 44.52 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.SEL (net) + 0.85 0.01 44.53 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.41 0.67 45.20 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.SEL_B (net) + 0.41 0.00 45.20 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.BIT[3].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 4.01 3.30 48.50 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.BIT[3].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[11] (net) + 4.02 0.03 48.54 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[11]/D (sky130_fd_sc_hd__dfxtp_1) + 48.54 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 3.27 2.54 32.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.73 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 3.27 0.09 32.89 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[11]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 32.64 clock uncertainty + 1.22 33.86 clock reconvergence pessimism + -0.39 33.47 library setup time + 33.47 data required time +----------------------------------------------------------------------------- + 33.47 data required time + -48.54 data arrival time +----------------------------------------------------------------------------- + -15.07 slack (VIOLATED) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[12] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.30 1.18 11.99 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 1.30 0.03 12.02 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.71 12.72 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.12 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.26 0.00 13.59 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.12 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.12 0.00 14.10 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.14 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.14 0.01 14.45 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.38 0.48 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.50 0.18 15.11 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 2.20 1.84 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 2.22 0.18 17.13 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.53 1.54 18.67 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.56 0.10 18.77 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.12 0.21 18.98 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.12 0.00 18.98 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.18 19.16 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.07 0.00 19.16 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 19.32 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.07 0.00 19.32 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.18 19.50 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.10 0.00 19.50 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 19.68 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.09 0.00 19.68 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.19 19.88 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.10 0.00 19.88 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.17 20.04 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.06 0.00 20.04 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 20.20 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.08 0.00 20.20 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.36 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.07 0.00 20.36 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.52 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.07 0.00 20.52 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 20.69 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.09 0.00 20.69 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 20.87 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.09 0.00 20.87 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.04 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.08 0.00 21.04 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.21 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.08 0.00 21.21 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 21.37 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.07 0.00 21.37 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.22 21.58 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.14 0.00 21.58 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.28 21.86 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.19 0.00 21.86 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.31 22.18 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.21 0.00 22.18 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.33 22.51 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.22 0.00 22.51 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.34 22.85 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.22 0.00 22.85 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.23 0.34 23.19 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.23 0.00 23.19 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.33 23.52 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.21 0.00 23.52 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.36 23.88 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.26 0.00 23.88 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.25 24.12 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.09 0.00 24.13 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.21 24.33 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.12 0.00 24.33 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 24.58 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.15 0.00 24.58 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 24.81 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.13 0.00 24.81 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.22 25.03 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.12 0.00 25.03 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 25.27 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.15 0.00 25.27 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 25.51 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.13 0.00 25.51 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.25 25.76 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.16 0.00 25.76 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.20 25.96 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.08 0.00 25.96 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.30 0.33 26.30 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.30 0.00 26.30 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.24 26.54 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.07 0.00 26.54 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.19 26.72 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.11 0.00 26.72 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 26.92 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.09 0.00 26.92 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 27.09 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.07 0.00 27.09 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.24 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.06 0.00 27.24 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 27.40 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.08 0.00 27.40 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.56 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.07 0.00 27.56 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.72 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.07 0.00 27.72 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.87 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.06 0.00 27.87 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.01 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.06 0.00 28.01 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.16 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.06 0.00 28.16 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.31 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.06 0.00 28.31 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.46 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.06 0.00 28.46 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.61 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.06 0.00 28.61 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 28.77 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.08 0.00 28.77 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.16 28.93 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.06 0.00 28.93 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.09 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.08 0.00 29.09 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.26 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.08 0.00 29.26 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.43 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.08 0.00 29.43 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 29.61 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.09 0.00 29.61 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 29.78 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.07 0.00 29.78 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.94 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.08 0.00 29.94 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 30.18 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.17 0.00 30.18 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 30.41 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.10 0.00 30.41 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 30.59 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.08 0.00 30.59 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 30.74 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.06 0.00 30.74 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 30.89 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.07 0.00 30.89 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 31.04 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.07 0.00 31.04 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 31.20 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.08 0.00 31.20 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 31.44 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.17 0.00 31.44 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 31.67 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.10 0.00 31.67 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 31.84 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.07 0.00 31.84 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 32.01 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.08 0.00 32.01 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.18 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.09 0.00 32.18 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.37 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.09 0.00 32.37 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 32.54 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.08 0.00 32.54 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.72 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.09 0.00 32.72 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 32.88 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.07 0.00 32.88 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.22 33.10 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.16 0.00 33.11 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.34 33.45 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.26 0.00 33.45 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 33.88 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.25 0.01 33.88 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.44 34.33 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.28 0.00 34.33 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 34.76 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.25 0.00 34.76 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.35 0.49 35.25 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.35 0.01 35.26 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.47 35.73 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.27 0.00 35.74 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.45 36.19 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.28 0.01 36.19 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.26 0.44 36.63 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.26 0.00 36.64 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.72 0.75 37.39 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.72 0.03 37.42 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.09 0.46 37.88 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.09 0.00 37.88 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.20 38.08 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.12 0.00 38.08 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.20 38.28 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.10 0.00 38.28 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 38.46 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.08 0.00 38.46 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 38.62 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.07 0.00 38.62 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 38.77 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.07 0.00 38.77 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 38.92 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.06 0.00 38.92 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.08 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.07 0.00 39.08 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 39.24 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.08 0.00 39.24 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.41 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.08 0.00 39.41 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.57 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.08 0.00 39.57 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 39.73 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.07 0.00 39.73 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.88 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.07 0.00 39.88 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 40.05 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.09 0.00 40.05 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.22 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.08 0.00 40.22 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.39 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.08 0.00 40.39 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.55 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.07 0.00 40.55 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 40.70 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.07 0.00 40.70 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.86 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.07 0.00 40.86 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.24 41.10 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.07 0.00 41.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.86 0.78 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.86 0.01 41.89 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.58 42.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net) + 0.18 0.00 42.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4) + 0.09 0.44 42.91 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN (net) + 0.09 0.00 42.91 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.31 43.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN_buf (net) + 0.20 0.00 43.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/D (sky130_fd_sc_hd__and4b_2) + 0.10 0.53 43.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/X (sky130_fd_sc_hd__and4b_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SEL (net) + 0.10 0.00 43.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.68 0.66 44.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[0].B.SEL (net) + 0.68 0.00 44.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.41 0.65 45.07 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SEL_B (net) + 0.41 0.00 45.07 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[4].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 4.09 3.34 48.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[4].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[12] (net) + 4.10 0.06 48.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[12]/D (sky130_fd_sc_hd__dfxtp_1) + 48.48 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 3.27 2.54 32.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.73 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 3.27 0.05 32.85 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[12]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 32.60 clock uncertainty + 1.22 33.82 clock reconvergence pessimism + -0.40 33.42 library setup time + 33.42 data required time +----------------------------------------------------------------------------- + 33.42 data required time + -48.48 data arrival time +----------------------------------------------------------------------------- + -15.06 slack (VIOLATED) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[2] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.30 1.18 11.99 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 1.30 0.03 12.02 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.71 12.72 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.12 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.26 0.00 13.59 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.12 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.12 0.00 14.10 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.14 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.14 0.01 14.45 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.38 0.48 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.50 0.18 15.11 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 2.20 1.84 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 2.22 0.18 17.13 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.53 1.54 18.67 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.56 0.10 18.77 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.12 0.21 18.98 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.12 0.00 18.98 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.18 19.16 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.07 0.00 19.16 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 19.32 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.07 0.00 19.32 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.18 19.50 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.10 0.00 19.50 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 19.68 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.09 0.00 19.68 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.19 19.88 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.10 0.00 19.88 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.17 20.04 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.06 0.00 20.04 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 20.20 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.08 0.00 20.20 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.36 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.07 0.00 20.36 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.52 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.07 0.00 20.52 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 20.69 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.09 0.00 20.69 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 20.87 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.09 0.00 20.87 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.04 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.08 0.00 21.04 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.21 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.08 0.00 21.21 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 21.37 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.07 0.00 21.37 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.22 21.58 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.14 0.00 21.58 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.28 21.86 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.19 0.00 21.86 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.31 22.18 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.21 0.00 22.18 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.33 22.51 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.22 0.00 22.51 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.34 22.85 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.22 0.00 22.85 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.23 0.34 23.19 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.23 0.00 23.19 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.33 23.52 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.21 0.00 23.52 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.36 23.88 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.26 0.00 23.88 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.25 24.12 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.09 0.00 24.13 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.21 24.33 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.12 0.00 24.33 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 24.58 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.15 0.00 24.58 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 24.81 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.13 0.00 24.81 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.22 25.03 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.12 0.00 25.03 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 25.27 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.15 0.00 25.27 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 25.51 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.13 0.00 25.51 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.25 25.76 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.16 0.00 25.76 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.20 25.96 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.08 0.00 25.96 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.30 0.33 26.30 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.30 0.00 26.30 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.24 26.54 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.07 0.00 26.54 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.19 26.72 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.11 0.00 26.72 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 26.92 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.09 0.00 26.92 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 27.09 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.07 0.00 27.09 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.24 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.06 0.00 27.24 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 27.40 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.08 0.00 27.40 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.56 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.07 0.00 27.56 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.72 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.07 0.00 27.72 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.87 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.06 0.00 27.87 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.01 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.06 0.00 28.01 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.16 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.06 0.00 28.16 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.31 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.06 0.00 28.31 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.46 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.06 0.00 28.46 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.61 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.06 0.00 28.61 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 28.77 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.08 0.00 28.77 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.16 28.93 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.06 0.00 28.93 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.09 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.08 0.00 29.09 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.26 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.08 0.00 29.26 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.43 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.08 0.00 29.43 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 29.61 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.09 0.00 29.61 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 29.78 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.07 0.00 29.78 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.94 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.08 0.00 29.94 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 30.18 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.17 0.00 30.18 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 30.41 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.10 0.00 30.41 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 30.59 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.08 0.00 30.59 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 30.74 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.06 0.00 30.74 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 30.89 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.07 0.00 30.89 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 31.04 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.07 0.00 31.04 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 31.20 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.08 0.00 31.20 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 31.44 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.17 0.00 31.44 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 31.67 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.10 0.00 31.67 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 31.84 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.07 0.00 31.84 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 32.01 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.08 0.00 32.01 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.18 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.09 0.00 32.18 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.37 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.09 0.00 32.37 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 32.54 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.08 0.00 32.54 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.72 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.09 0.00 32.72 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 32.88 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.07 0.00 32.88 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.22 33.10 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.16 0.00 33.11 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.34 33.45 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.26 0.00 33.45 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 33.88 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.25 0.01 33.88 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.44 34.33 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.28 0.00 34.33 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 34.76 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.25 0.00 34.76 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.35 0.49 35.25 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.35 0.01 35.26 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.47 35.73 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.27 0.00 35.74 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.45 36.19 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.28 0.01 36.19 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.26 0.44 36.63 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.26 0.00 36.64 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.72 0.75 37.39 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.72 0.03 37.42 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.09 0.46 37.88 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.09 0.00 37.88 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.20 38.08 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.12 0.00 38.08 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.20 38.28 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.10 0.00 38.28 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 38.46 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.08 0.00 38.46 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 38.62 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.07 0.00 38.62 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 38.77 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.07 0.00 38.77 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 38.92 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.06 0.00 38.92 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.08 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.07 0.00 39.08 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 39.24 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.08 0.00 39.24 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.41 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.08 0.00 39.41 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.57 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.08 0.00 39.57 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 39.73 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.07 0.00 39.73 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.88 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.07 0.00 39.88 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 40.05 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.09 0.00 40.05 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.22 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.08 0.00 40.22 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.39 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.08 0.00 40.39 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.55 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.07 0.00 40.55 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 40.70 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.07 0.00 40.70 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.86 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.07 0.00 40.86 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.24 41.10 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.07 0.00 41.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.86 0.78 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.86 0.01 41.89 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.58 42.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net) + 0.18 0.00 42.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4) + 0.09 0.44 42.91 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN (net) + 0.09 0.00 42.91 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.31 43.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN_buf (net) + 0.20 0.00 43.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND5/D (sky130_fd_sc_hd__and4b_2) + 0.11 0.55 43.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND5/X (sky130_fd_sc_hd__and4b_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.SEL (net) + 0.11 0.00 43.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.58 0.60 44.37 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.07 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.SEL (net) + 0.58 0.00 44.37 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.52 0.70 45.07 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 16 0.06 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.SEL_B (net) + 0.52 0.00 45.08 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.BIT[2].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 4.09 3.41 48.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.BIT[2].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[2] (net) + 4.10 0.01 48.49 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[2]/D (sky130_fd_sc_hd__dfxtp_1) + 48.49 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 3.27 2.54 32.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.73 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 3.27 0.06 32.86 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[2]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 32.61 clock uncertainty + 1.22 33.83 clock reconvergence pessimism + -0.40 33.43 library setup time + 33.43 data required time +----------------------------------------------------------------------------- + 33.43 data required time + -48.49 data arrival time +----------------------------------------------------------------------------- + -15.06 slack (VIOLATED) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[14] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.30 1.18 11.99 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 1.30 0.03 12.02 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.71 12.72 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.12 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.26 0.00 13.59 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.12 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.12 0.00 14.10 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.14 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.14 0.01 14.45 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.38 0.48 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.50 0.18 15.11 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 2.20 1.84 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 2.22 0.18 17.13 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.53 1.54 18.67 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.56 0.10 18.77 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.12 0.21 18.98 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.12 0.00 18.98 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.18 19.16 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.07 0.00 19.16 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 19.32 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.07 0.00 19.32 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.18 19.50 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.10 0.00 19.50 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 19.68 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.09 0.00 19.68 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.19 19.88 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.10 0.00 19.88 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.17 20.04 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.06 0.00 20.04 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 20.20 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.08 0.00 20.20 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.36 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.07 0.00 20.36 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.52 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.07 0.00 20.52 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 20.69 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.09 0.00 20.69 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 20.87 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.09 0.00 20.87 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.04 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.08 0.00 21.04 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.21 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.08 0.00 21.21 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 21.37 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.07 0.00 21.37 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.22 21.58 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.14 0.00 21.58 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.28 21.86 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.19 0.00 21.86 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.31 22.18 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.21 0.00 22.18 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.33 22.51 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.22 0.00 22.51 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.34 22.85 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.22 0.00 22.85 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.23 0.34 23.19 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.23 0.00 23.19 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.33 23.52 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.21 0.00 23.52 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.36 23.88 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.26 0.00 23.88 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.25 24.12 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.09 0.00 24.13 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.21 24.33 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.12 0.00 24.33 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 24.58 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.15 0.00 24.58 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 24.81 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.13 0.00 24.81 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.22 25.03 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.12 0.00 25.03 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 25.27 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.15 0.00 25.27 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 25.51 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.13 0.00 25.51 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.25 25.76 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.16 0.00 25.76 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.20 25.96 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.08 0.00 25.96 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.30 0.33 26.30 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.30 0.00 26.30 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.24 26.54 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.07 0.00 26.54 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.19 26.72 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.11 0.00 26.72 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 26.92 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.09 0.00 26.92 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 27.09 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.07 0.00 27.09 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.24 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.06 0.00 27.24 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 27.40 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.08 0.00 27.40 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.56 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.07 0.00 27.56 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.72 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.07 0.00 27.72 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.87 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.06 0.00 27.87 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.01 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.06 0.00 28.01 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.16 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.06 0.00 28.16 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.31 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.06 0.00 28.31 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.46 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.06 0.00 28.46 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.61 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.06 0.00 28.61 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 28.77 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.08 0.00 28.77 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.16 28.93 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.06 0.00 28.93 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.09 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.08 0.00 29.09 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.26 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.08 0.00 29.26 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.43 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.08 0.00 29.43 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 29.61 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.09 0.00 29.61 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 29.78 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.07 0.00 29.78 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.94 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.08 0.00 29.94 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 30.18 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.17 0.00 30.18 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 30.41 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.10 0.00 30.41 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 30.59 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.08 0.00 30.59 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 30.74 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.06 0.00 30.74 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 30.89 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.07 0.00 30.89 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 31.04 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.07 0.00 31.04 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 31.20 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.08 0.00 31.20 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 31.44 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.17 0.00 31.44 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 31.67 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.10 0.00 31.67 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 31.84 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.07 0.00 31.84 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 32.01 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.08 0.00 32.01 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.18 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.09 0.00 32.18 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.37 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.09 0.00 32.37 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 32.54 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.08 0.00 32.54 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.72 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.09 0.00 32.72 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 32.88 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.07 0.00 32.88 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.22 33.10 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.16 0.00 33.11 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.34 33.45 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.26 0.00 33.45 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 33.88 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.25 0.01 33.88 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.44 34.33 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.28 0.00 34.33 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 34.76 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.25 0.00 34.76 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.35 0.49 35.25 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.35 0.01 35.26 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.47 35.73 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.27 0.00 35.74 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.45 36.19 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.28 0.01 36.19 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.26 0.44 36.63 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.26 0.00 36.64 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.72 0.75 37.39 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.72 0.03 37.42 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.09 0.46 37.88 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.09 0.00 37.88 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.20 38.08 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.12 0.00 38.08 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.20 38.28 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.10 0.00 38.28 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 38.46 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.08 0.00 38.46 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 38.62 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.07 0.00 38.62 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 38.77 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.07 0.00 38.77 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 38.92 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.06 0.00 38.92 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.08 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.07 0.00 39.08 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 39.24 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.08 0.00 39.24 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.41 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.08 0.00 39.41 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.57 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.08 0.00 39.57 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 39.73 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.07 0.00 39.73 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.88 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.07 0.00 39.88 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 40.05 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.09 0.00 40.05 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.22 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.08 0.00 40.22 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.39 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.08 0.00 40.39 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.55 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.07 0.00 40.55 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 40.70 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.07 0.00 40.70 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.86 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.07 0.00 40.86 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.24 41.10 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.07 0.00 41.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.86 0.78 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.86 0.01 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.58 42.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.A_buf[3] (net) + 0.18 0.00 42.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4) + 0.12 0.49 42.96 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4) + 1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN (net) + 0.12 0.00 42.96 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.19 0.31 43.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN_buf (net) + 0.19 0.00 43.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/D (sky130_fd_sc_hd__and4bb_2) + 0.10 0.53 43.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/X (sky130_fd_sc_hd__and4bb_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SEL (net) + 0.10 0.00 43.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.65 0.64 44.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[0].B.SEL (net) + 0.65 0.01 44.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.41 0.63 45.08 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SEL_B (net) + 0.41 0.00 45.08 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[6].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 4.05 3.29 48.37 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[6].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_pre[14] (net) + 4.05 0.08 48.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[14]/D (sky130_fd_sc_hd__dfxtp_1) + 48.45 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 3.27 2.54 32.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.73 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 3.27 0.04 32.83 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[14]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 32.58 clock uncertainty + 1.22 33.80 clock reconvergence pessimism + -0.39 33.41 library setup time + 33.41 data required time +----------------------------------------------------------------------------- + 33.41 data required time + -48.45 data arrival time +----------------------------------------------------------------------------- + -15.04 slack (VIOLATED) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[5] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.30 1.18 11.99 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 1.30 0.03 12.02 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.71 12.72 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.12 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.26 0.00 13.59 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.12 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.12 0.00 14.10 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.14 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.14 0.01 14.45 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.38 0.48 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.50 0.18 15.11 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 2.20 1.84 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 2.22 0.18 17.13 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.53 1.54 18.67 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.56 0.10 18.77 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.12 0.21 18.98 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.12 0.00 18.98 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.18 19.16 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.07 0.00 19.16 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 19.32 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.07 0.00 19.32 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.18 19.50 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.10 0.00 19.50 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 19.68 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.09 0.00 19.68 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.19 19.88 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.10 0.00 19.88 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.17 20.04 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.06 0.00 20.04 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 20.20 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.08 0.00 20.20 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.36 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.07 0.00 20.36 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.52 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.07 0.00 20.52 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 20.69 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.09 0.00 20.69 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 20.87 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.09 0.00 20.87 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.04 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.08 0.00 21.04 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.21 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.08 0.00 21.21 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 21.37 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.07 0.00 21.37 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.22 21.58 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.14 0.00 21.58 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.28 21.86 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.19 0.00 21.86 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.31 22.18 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.21 0.00 22.18 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.33 22.51 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.22 0.00 22.51 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.34 22.85 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.22 0.00 22.85 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.23 0.34 23.19 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.23 0.00 23.19 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.33 23.52 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.21 0.00 23.52 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.36 23.88 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.26 0.00 23.88 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.25 24.12 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.09 0.00 24.13 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.21 24.33 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.12 0.00 24.33 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 24.58 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.15 0.00 24.58 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 24.81 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.13 0.00 24.81 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.22 25.03 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.12 0.00 25.03 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 25.27 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.15 0.00 25.27 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 25.51 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.13 0.00 25.51 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.25 25.76 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.16 0.00 25.76 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.20 25.96 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.08 0.00 25.96 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.30 0.33 26.30 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.30 0.00 26.30 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.24 26.54 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.07 0.00 26.54 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.19 26.72 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.11 0.00 26.72 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 26.92 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.09 0.00 26.92 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 27.09 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.07 0.00 27.09 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.24 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.06 0.00 27.24 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 27.40 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.08 0.00 27.40 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.56 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.07 0.00 27.56 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.72 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.07 0.00 27.72 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.87 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.06 0.00 27.87 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.01 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.06 0.00 28.01 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.16 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.06 0.00 28.16 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.31 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.06 0.00 28.31 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.46 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.06 0.00 28.46 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.61 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.06 0.00 28.61 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 28.77 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.08 0.00 28.77 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.16 28.93 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.06 0.00 28.93 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.09 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.08 0.00 29.09 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.26 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.08 0.00 29.26 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.43 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.08 0.00 29.43 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 29.61 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.09 0.00 29.61 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 29.78 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.07 0.00 29.78 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.94 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.08 0.00 29.94 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 30.18 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.17 0.00 30.18 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 30.41 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.10 0.00 30.41 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 30.59 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.08 0.00 30.59 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 30.74 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.06 0.00 30.74 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 30.89 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.07 0.00 30.89 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 31.04 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.07 0.00 31.04 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 31.20 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.08 0.00 31.20 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 31.44 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.17 0.00 31.44 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 31.67 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.10 0.00 31.67 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 31.84 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.07 0.00 31.84 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 32.01 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.08 0.00 32.01 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.18 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.09 0.00 32.18 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.37 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.09 0.00 32.37 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 32.54 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.08 0.00 32.54 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.72 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.09 0.00 32.72 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 32.88 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.07 0.00 32.88 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.22 33.10 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.16 0.00 33.11 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.34 33.45 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.26 0.00 33.45 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 33.88 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.25 0.01 33.88 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.44 34.33 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.28 0.00 34.33 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 34.76 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.25 0.00 34.76 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.35 0.49 35.25 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.35 0.01 35.26 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.47 35.73 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.27 0.00 35.74 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.45 36.19 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.28 0.01 36.19 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.26 0.44 36.63 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.26 0.00 36.64 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.72 0.75 37.39 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.72 0.03 37.42 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.09 0.46 37.88 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.09 0.00 37.88 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.20 38.08 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.12 0.00 38.08 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.20 38.28 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.10 0.00 38.28 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 38.46 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.08 0.00 38.46 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 38.62 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.07 0.00 38.62 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 38.77 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.07 0.00 38.77 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 38.92 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.06 0.00 38.92 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.08 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.07 0.00 39.08 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 39.24 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.08 0.00 39.24 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.41 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.08 0.00 39.41 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.57 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.08 0.00 39.57 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 39.73 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.07 0.00 39.73 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.88 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.07 0.00 39.88 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 40.05 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.09 0.00 40.05 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.22 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.08 0.00 40.22 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.39 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.08 0.00 40.39 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.55 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.07 0.00 40.55 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 40.70 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.07 0.00 40.70 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.86 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.07 0.00 40.86 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.24 41.10 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.07 0.00 41.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.86 0.78 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.87 0.02 41.90 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.58 42.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.A_buf[3] (net) + 0.18 0.00 42.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4) + 0.10 0.46 42.93 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4) + 1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.DEC.EN (net) + 0.10 0.00 42.93 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.30 43.23 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.DEC.EN_buf (net) + 0.18 0.00 43.23 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.DEC.AND5/D (sky130_fd_sc_hd__and4b_2) + 0.12 0.57 43.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.DEC.AND5/X (sky130_fd_sc_hd__and4b_2) + 1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.SEL (net) + 0.12 0.00 43.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.77 0.74 44.54 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.09 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.SEL (net) + 0.77 0.01 44.55 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.42 0.66 45.21 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.SEL_B (net) + 0.42 0.00 45.22 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.BIT[5].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 3.95 3.25 48.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.BIT[5].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_pre[5] (net) + 3.96 0.03 48.50 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[5]/D (sky130_fd_sc_hd__dfxtp_1) + 48.50 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 3.27 2.54 32.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.73 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 3.27 0.09 32.89 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[5]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 32.64 clock uncertainty + 1.22 33.86 clock reconvergence pessimism + -0.38 33.48 library setup time + 33.48 data required time +----------------------------------------------------------------------------- + 33.48 data required time + -48.50 data arrival time +----------------------------------------------------------------------------- + -15.02 slack (VIOLATED) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[9] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.30 1.18 11.99 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 1.30 0.03 12.02 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.71 12.72 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.12 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.26 0.00 13.59 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.12 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.12 0.00 14.10 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.14 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.14 0.01 14.45 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.38 0.48 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.50 0.18 15.11 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 2.20 1.84 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 2.22 0.18 17.13 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.53 1.54 18.67 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.56 0.10 18.77 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.12 0.21 18.98 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.12 0.00 18.98 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.18 19.16 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.07 0.00 19.16 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 19.32 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.07 0.00 19.32 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.18 19.50 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.10 0.00 19.50 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 19.68 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.09 0.00 19.68 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.19 19.88 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.10 0.00 19.88 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.17 20.04 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.06 0.00 20.04 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 20.20 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.08 0.00 20.20 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.36 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.07 0.00 20.36 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.52 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.07 0.00 20.52 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 20.69 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.09 0.00 20.69 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 20.87 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.09 0.00 20.87 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.04 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.08 0.00 21.04 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.21 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.08 0.00 21.21 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 21.37 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.07 0.00 21.37 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.22 21.58 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.14 0.00 21.58 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.28 21.86 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.19 0.00 21.86 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.31 22.18 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.21 0.00 22.18 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.33 22.51 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.22 0.00 22.51 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.34 22.85 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.22 0.00 22.85 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.23 0.34 23.19 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.23 0.00 23.19 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.33 23.52 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.21 0.00 23.52 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.36 23.88 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.26 0.00 23.88 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.25 24.12 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.09 0.00 24.13 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.21 24.33 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.12 0.00 24.33 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 24.58 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.15 0.00 24.58 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 24.81 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.13 0.00 24.81 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.22 25.03 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.12 0.00 25.03 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 25.27 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.15 0.00 25.27 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 25.51 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.13 0.00 25.51 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.25 25.76 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.16 0.00 25.76 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.20 25.96 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.08 0.00 25.96 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.30 0.33 26.30 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.30 0.00 26.30 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.24 26.54 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.07 0.00 26.54 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.19 26.72 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.11 0.00 26.72 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 26.92 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.09 0.00 26.92 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 27.09 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.07 0.00 27.09 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.24 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.06 0.00 27.24 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 27.40 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.08 0.00 27.40 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.56 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.07 0.00 27.56 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.72 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.07 0.00 27.72 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.87 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.06 0.00 27.87 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.01 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.06 0.00 28.01 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.16 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.06 0.00 28.16 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.31 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.06 0.00 28.31 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.46 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.06 0.00 28.46 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.61 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.06 0.00 28.61 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 28.77 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.08 0.00 28.77 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.16 28.93 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.06 0.00 28.93 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.09 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.08 0.00 29.09 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.26 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.08 0.00 29.26 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.43 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.08 0.00 29.43 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 29.61 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.09 0.00 29.61 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 29.78 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.07 0.00 29.78 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.94 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.08 0.00 29.94 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 30.18 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.17 0.00 30.18 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 30.41 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.10 0.00 30.41 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 30.59 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.08 0.00 30.59 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 30.74 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.06 0.00 30.74 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 30.89 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.07 0.00 30.89 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 31.04 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.07 0.00 31.04 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 31.20 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.08 0.00 31.20 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 31.44 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.17 0.00 31.44 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 31.67 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.10 0.00 31.67 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 31.84 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.07 0.00 31.84 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 32.01 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.08 0.00 32.01 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.18 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.09 0.00 32.18 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.37 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.09 0.00 32.37 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 32.54 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.08 0.00 32.54 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.72 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.09 0.00 32.72 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 32.88 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.07 0.00 32.88 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.22 33.10 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.16 0.00 33.11 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.34 33.45 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.26 0.00 33.45 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 33.88 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.25 0.01 33.88 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.44 34.33 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.28 0.00 34.33 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 34.76 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.25 0.00 34.76 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.35 0.49 35.25 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.35 0.01 35.26 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.47 35.73 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.27 0.00 35.74 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.45 36.19 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.28 0.01 36.19 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.26 0.44 36.63 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.26 0.00 36.64 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.72 0.75 37.39 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.72 0.03 37.42 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.09 0.46 37.88 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.09 0.00 37.88 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.20 38.08 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.12 0.00 38.08 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.20 38.28 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.10 0.00 38.28 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 38.46 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.08 0.00 38.46 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 38.62 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.07 0.00 38.62 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 38.77 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.07 0.00 38.77 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 38.92 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.06 0.00 38.92 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.08 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.07 0.00 39.08 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 39.24 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.08 0.00 39.24 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.41 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.08 0.00 39.41 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.57 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.08 0.00 39.57 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 39.73 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.07 0.00 39.73 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.88 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.07 0.00 39.88 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 40.05 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.09 0.00 40.05 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.22 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.08 0.00 40.22 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.39 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.08 0.00 40.39 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.55 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.07 0.00 40.55 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 40.70 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.07 0.00 40.70 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.86 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.07 0.00 40.86 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.24 41.10 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.07 0.00 41.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.86 0.78 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.86 0.00 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.58 42.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net) + 0.18 0.00 42.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4) + 0.09 0.46 42.92 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net) + 0.09 0.00 42.92 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.28 43.20 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net) + 0.16 0.00 43.20 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND7/D (sky130_fd_sc_hd__and4_2) + 0.11 0.53 43.73 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND7/X (sky130_fd_sc_hd__and4_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SEL (net) + 0.11 0.00 43.73 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.85 0.79 44.52 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.SEL (net) + 0.85 0.01 44.53 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.41 0.67 45.20 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.SEL_B (net) + 0.41 0.00 45.20 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.BIT[1].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 3.95 3.24 48.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.BIT[1].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[9] (net) + 3.96 0.05 48.49 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[9]/D (sky130_fd_sc_hd__dfxtp_1) + 48.49 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 3.27 2.54 32.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.73 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 3.27 0.10 32.89 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[9]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 32.64 clock uncertainty + 1.22 33.87 clock reconvergence pessimism + -0.38 33.48 library setup time + 33.48 data required time +----------------------------------------------------------------------------- + 33.48 data required time + -48.49 data arrival time +----------------------------------------------------------------------------- + -15.01 slack (VIOLATED) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[3] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.30 1.18 11.99 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 1.30 0.03 12.02 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.71 12.72 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.12 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.26 0.00 13.59 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.12 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.12 0.00 14.10 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.14 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.14 0.01 14.45 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.38 0.48 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.50 0.18 15.11 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 2.20 1.84 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 2.22 0.18 17.13 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.53 1.54 18.67 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.56 0.10 18.77 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.12 0.21 18.98 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.12 0.00 18.98 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.18 19.16 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.07 0.00 19.16 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 19.32 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.07 0.00 19.32 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.18 19.50 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.10 0.00 19.50 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 19.68 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.09 0.00 19.68 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.19 19.88 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.10 0.00 19.88 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.17 20.04 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.06 0.00 20.04 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 20.20 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.08 0.00 20.20 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.36 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.07 0.00 20.36 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.52 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.07 0.00 20.52 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 20.69 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.09 0.00 20.69 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 20.87 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.09 0.00 20.87 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.04 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.08 0.00 21.04 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.21 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.08 0.00 21.21 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 21.37 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.07 0.00 21.37 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.22 21.58 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.14 0.00 21.58 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.28 21.86 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.19 0.00 21.86 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.31 22.18 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.21 0.00 22.18 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.33 22.51 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.22 0.00 22.51 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.34 22.85 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.22 0.00 22.85 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.23 0.34 23.19 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.23 0.00 23.19 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.33 23.52 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.21 0.00 23.52 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.36 23.88 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.26 0.00 23.88 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.25 24.12 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.09 0.00 24.13 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.21 24.33 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.12 0.00 24.33 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 24.58 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.15 0.00 24.58 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 24.81 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.13 0.00 24.81 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.22 25.03 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.12 0.00 25.03 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 25.27 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.15 0.00 25.27 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 25.51 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.13 0.00 25.51 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.25 25.76 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.16 0.00 25.76 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.20 25.96 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.08 0.00 25.96 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.30 0.33 26.30 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.30 0.00 26.30 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.24 26.54 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.07 0.00 26.54 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.19 26.72 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.11 0.00 26.72 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 26.92 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.09 0.00 26.92 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 27.09 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.07 0.00 27.09 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.24 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.06 0.00 27.24 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 27.40 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.08 0.00 27.40 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.56 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.07 0.00 27.56 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.72 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.07 0.00 27.72 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.87 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.06 0.00 27.87 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.01 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.06 0.00 28.01 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.16 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.06 0.00 28.16 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.31 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.06 0.00 28.31 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.46 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.06 0.00 28.46 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.61 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.06 0.00 28.61 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 28.77 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.08 0.00 28.77 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.16 28.93 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.06 0.00 28.93 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.09 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.08 0.00 29.09 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.26 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.08 0.00 29.26 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.43 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.08 0.00 29.43 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 29.61 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.09 0.00 29.61 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 29.78 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.07 0.00 29.78 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.94 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.08 0.00 29.94 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 30.18 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.17 0.00 30.18 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 30.41 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.10 0.00 30.41 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 30.59 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.08 0.00 30.59 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 30.74 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.06 0.00 30.74 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 30.89 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.07 0.00 30.89 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 31.04 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.07 0.00 31.04 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 31.20 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.08 0.00 31.20 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 31.44 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.17 0.00 31.44 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 31.67 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.10 0.00 31.67 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 31.84 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.07 0.00 31.84 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 32.01 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.08 0.00 32.01 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.18 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.09 0.00 32.18 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.37 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.09 0.00 32.37 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 32.54 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.08 0.00 32.54 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.72 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.09 0.00 32.72 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 32.88 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.07 0.00 32.88 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.22 33.10 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.16 0.00 33.11 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.34 33.45 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.26 0.00 33.45 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 33.88 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.25 0.01 33.88 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.44 34.33 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.28 0.00 34.33 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 34.76 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.25 0.00 34.76 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.35 0.49 35.25 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.35 0.01 35.26 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.47 35.73 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.27 0.00 35.74 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.45 36.19 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.28 0.01 36.19 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.26 0.44 36.63 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.26 0.00 36.64 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.72 0.75 37.39 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.72 0.03 37.42 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.09 0.46 37.88 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.09 0.00 37.88 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.20 38.08 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.12 0.00 38.08 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.20 38.28 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.10 0.00 38.28 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 38.46 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.08 0.00 38.46 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 38.62 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.07 0.00 38.62 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 38.77 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.07 0.00 38.77 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 38.92 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.06 0.00 38.92 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.08 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.07 0.00 39.08 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 39.24 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.08 0.00 39.24 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.41 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.08 0.00 39.41 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.57 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.08 0.00 39.57 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 39.73 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.07 0.00 39.73 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.88 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.07 0.00 39.88 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 40.05 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.09 0.00 40.05 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.22 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.08 0.00 40.22 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.39 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.08 0.00 40.39 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.55 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.07 0.00 40.55 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 40.70 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.07 0.00 40.70 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.86 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.07 0.00 40.86 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.24 41.10 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.07 0.00 41.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.86 0.78 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.86 0.00 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.58 42.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net) + 0.18 0.00 42.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4) + 0.09 0.46 42.92 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net) + 0.09 0.00 42.92 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.28 43.20 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net) + 0.16 0.00 43.20 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND7/D (sky130_fd_sc_hd__and4_2) + 0.11 0.53 43.73 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND7/X (sky130_fd_sc_hd__and4_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SEL (net) + 0.11 0.00 43.73 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.85 0.79 44.52 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.SEL (net) + 0.85 0.00 44.53 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.41 0.67 45.19 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.SEL_B (net) + 0.41 0.00 45.20 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.BIT[3].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 3.97 3.26 48.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.BIT[3].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[3] (net) + 3.98 0.04 48.50 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[3]/D (sky130_fd_sc_hd__dfxtp_1) + 48.50 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 3.27 2.54 32.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.73 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 3.27 0.11 32.90 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[3]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 32.65 clock uncertainty + 1.22 33.88 clock reconvergence pessimism + -0.38 33.49 library setup time + 33.49 data required time +----------------------------------------------------------------------------- + 33.49 data required time + -48.50 data arrival time +----------------------------------------------------------------------------- + -15.01 slack (VIOLATED) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[31] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.30 1.18 11.99 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 1.30 0.03 12.02 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.71 12.72 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.12 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.26 0.00 13.59 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.12 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.12 0.00 14.10 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.14 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.14 0.01 14.45 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.38 0.48 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.50 0.18 15.11 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 2.20 1.84 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 2.22 0.18 17.13 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.53 1.54 18.67 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.56 0.10 18.77 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.12 0.21 18.98 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.12 0.00 18.98 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.18 19.16 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.07 0.00 19.16 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 19.32 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.07 0.00 19.32 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.18 19.50 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.10 0.00 19.50 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 19.68 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.09 0.00 19.68 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.19 19.88 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.10 0.00 19.88 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.17 20.04 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.06 0.00 20.04 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 20.20 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.08 0.00 20.20 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.36 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.07 0.00 20.36 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.52 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.07 0.00 20.52 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 20.69 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.09 0.00 20.69 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 20.87 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.09 0.00 20.87 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.04 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.08 0.00 21.04 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.21 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.08 0.00 21.21 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 21.37 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.07 0.00 21.37 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.22 21.58 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.14 0.00 21.58 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.28 21.86 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.19 0.00 21.86 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.31 22.18 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.21 0.00 22.18 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.33 22.51 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.22 0.00 22.51 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.34 22.85 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.22 0.00 22.85 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.23 0.34 23.19 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.23 0.00 23.19 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.33 23.52 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.21 0.00 23.52 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.36 23.88 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.26 0.00 23.88 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.25 24.12 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.09 0.00 24.13 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.21 24.33 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.12 0.00 24.33 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 24.58 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.15 0.00 24.58 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 24.81 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.13 0.00 24.81 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.22 25.03 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.12 0.00 25.03 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 25.27 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.15 0.00 25.27 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 25.51 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.13 0.00 25.51 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.25 25.76 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.16 0.00 25.76 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.20 25.96 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.08 0.00 25.96 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.30 0.33 26.30 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.30 0.00 26.30 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.24 26.54 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.07 0.00 26.54 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.19 26.72 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.11 0.00 26.72 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 26.92 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.09 0.00 26.92 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 27.09 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.07 0.00 27.09 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.24 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.06 0.00 27.24 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 27.40 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.08 0.00 27.40 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.56 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.07 0.00 27.56 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.72 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.07 0.00 27.72 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.87 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.06 0.00 27.87 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.01 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.06 0.00 28.01 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.16 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.06 0.00 28.16 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.31 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.06 0.00 28.31 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.46 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.06 0.00 28.46 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.61 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.06 0.00 28.61 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 28.77 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.08 0.00 28.77 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.16 28.93 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.06 0.00 28.93 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.09 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.08 0.00 29.09 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.26 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.08 0.00 29.26 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.43 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.08 0.00 29.43 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 29.61 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.09 0.00 29.61 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 29.78 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.07 0.00 29.78 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.94 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.08 0.00 29.94 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 30.18 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.17 0.00 30.18 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 30.41 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.10 0.00 30.41 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 30.59 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.08 0.00 30.59 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 30.74 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.06 0.00 30.74 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 30.89 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.07 0.00 30.89 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 31.04 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.07 0.00 31.04 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 31.20 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.08 0.00 31.20 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 31.44 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.17 0.00 31.44 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 31.67 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.10 0.00 31.67 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 31.84 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.07 0.00 31.84 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 32.01 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.08 0.00 32.01 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.18 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.09 0.00 32.18 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.37 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.09 0.00 32.37 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 32.54 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.08 0.00 32.54 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.72 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.09 0.00 32.72 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 32.88 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.07 0.00 32.88 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.22 33.10 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.16 0.00 33.11 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.34 33.45 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.26 0.00 33.45 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 33.88 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.25 0.01 33.88 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.44 34.33 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.28 0.00 34.33 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 34.76 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.25 0.00 34.76 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.35 0.49 35.25 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.35 0.01 35.26 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.47 35.73 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.27 0.00 35.74 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.45 36.19 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.28 0.01 36.19 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.26 0.44 36.63 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.26 0.00 36.64 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.72 0.75 37.39 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.72 0.03 37.42 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.09 0.46 37.88 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.09 0.00 37.88 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.20 38.08 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.12 0.00 38.08 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.20 38.28 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.10 0.00 38.28 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 38.46 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.08 0.00 38.46 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 38.62 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.07 0.00 38.62 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 38.77 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.07 0.00 38.77 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 38.92 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.06 0.00 38.92 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.08 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.07 0.00 39.08 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 39.24 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.08 0.00 39.24 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.41 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.08 0.00 39.41 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.57 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.08 0.00 39.57 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 39.73 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.07 0.00 39.73 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.88 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.07 0.00 39.88 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 40.05 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.09 0.00 40.05 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.22 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.08 0.00 40.22 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.39 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.08 0.00 40.39 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.55 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.07 0.00 40.55 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 40.70 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.07 0.00 40.70 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.86 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.07 0.00 40.86 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.24 41.10 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.07 0.00 41.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.86 0.78 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.87 0.02 41.90 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.58 42.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.A_buf[3] (net) + 0.18 0.00 42.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4) + 0.10 0.46 42.93 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4) + 1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.DEC.EN (net) + 0.10 0.00 42.93 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.30 43.23 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.DEC.EN_buf (net) + 0.18 0.00 43.23 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.DEC.AND5/D (sky130_fd_sc_hd__and4b_2) + 0.12 0.57 43.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.DEC.AND5/X (sky130_fd_sc_hd__and4b_2) + 1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.SEL (net) + 0.12 0.00 43.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.77 0.74 44.54 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.09 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.SEL (net) + 0.77 0.00 44.55 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[3].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.43 0.70 45.25 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[3].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.05 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[3].B.SEL_B (net) + 0.43 0.00 45.25 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[3].B.BIT[7].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 3.88 3.21 48.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[3].B.BIT[7].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.20 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_pre[31] (net) + 3.89 0.02 48.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[31]/D (sky130_fd_sc_hd__dfxtp_1) + 48.47 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 3.27 2.54 32.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.73 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 3.27 0.08 32.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[31]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 32.63 clock uncertainty + 1.22 33.85 clock reconvergence pessimism + -0.37 33.48 library setup time + 33.48 data required time +----------------------------------------------------------------------------- + 33.48 data required time + -48.47 data arrival time +----------------------------------------------------------------------------- + -15.00 slack (VIOLATED) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[20] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.30 1.18 11.99 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 1.30 0.03 12.02 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.71 12.72 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.12 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.26 0.00 13.59 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.12 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.12 0.00 14.10 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.14 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.14 0.01 14.45 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.38 0.48 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.50 0.18 15.11 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 2.20 1.84 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 2.22 0.18 17.13 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.53 1.54 18.67 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.56 0.10 18.77 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.12 0.21 18.98 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.12 0.00 18.98 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.18 19.16 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.07 0.00 19.16 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 19.32 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.07 0.00 19.32 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.18 19.50 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.10 0.00 19.50 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 19.68 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.09 0.00 19.68 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.19 19.88 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.10 0.00 19.88 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.17 20.04 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.06 0.00 20.04 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 20.20 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.08 0.00 20.20 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.36 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.07 0.00 20.36 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.52 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.07 0.00 20.52 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 20.69 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.09 0.00 20.69 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 20.87 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.09 0.00 20.87 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.04 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.08 0.00 21.04 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.21 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.08 0.00 21.21 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 21.37 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.07 0.00 21.37 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.22 21.58 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.14 0.00 21.58 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.28 21.86 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.19 0.00 21.86 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.31 22.18 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.21 0.00 22.18 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.33 22.51 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.22 0.00 22.51 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.34 22.85 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.22 0.00 22.85 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.23 0.34 23.19 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.23 0.00 23.19 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.33 23.52 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.21 0.00 23.52 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.36 23.88 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.26 0.00 23.88 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.25 24.12 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.09 0.00 24.13 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.21 24.33 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.12 0.00 24.33 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 24.58 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.15 0.00 24.58 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 24.81 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.13 0.00 24.81 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.22 25.03 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.12 0.00 25.03 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 25.27 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.15 0.00 25.27 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 25.51 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.13 0.00 25.51 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.25 25.76 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.16 0.00 25.76 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.20 25.96 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.08 0.00 25.96 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.30 0.33 26.30 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.30 0.00 26.30 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.24 26.54 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.07 0.00 26.54 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.19 26.72 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.11 0.00 26.72 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 26.92 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.09 0.00 26.92 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 27.09 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.07 0.00 27.09 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.24 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.06 0.00 27.24 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 27.40 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.08 0.00 27.40 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.56 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.07 0.00 27.56 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.72 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.07 0.00 27.72 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.87 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.06 0.00 27.87 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.01 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.06 0.00 28.01 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.16 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.06 0.00 28.16 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.31 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.06 0.00 28.31 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.46 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.06 0.00 28.46 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.61 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.06 0.00 28.61 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 28.77 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.08 0.00 28.77 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.16 28.93 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.06 0.00 28.93 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.09 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.08 0.00 29.09 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.26 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.08 0.00 29.26 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.43 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.08 0.00 29.43 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 29.61 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.09 0.00 29.61 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 29.78 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.07 0.00 29.78 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.94 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.08 0.00 29.94 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 30.18 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.17 0.00 30.18 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 30.41 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.10 0.00 30.41 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 30.59 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.08 0.00 30.59 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 30.74 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.06 0.00 30.74 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 30.89 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.07 0.00 30.89 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 31.04 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.07 0.00 31.04 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 31.20 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.08 0.00 31.20 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 31.44 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.17 0.00 31.44 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 31.67 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.10 0.00 31.67 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 31.84 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.07 0.00 31.84 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 32.01 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.08 0.00 32.01 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.18 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.09 0.00 32.18 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.37 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.09 0.00 32.37 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 32.54 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.08 0.00 32.54 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.72 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.09 0.00 32.72 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 32.88 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.07 0.00 32.88 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.22 33.10 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.16 0.00 33.11 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.34 33.45 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.26 0.00 33.45 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 33.88 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.25 0.01 33.88 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.44 34.33 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.28 0.00 34.33 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 34.76 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.25 0.00 34.76 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.35 0.49 35.25 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.35 0.01 35.26 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.47 35.73 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.27 0.00 35.74 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.45 36.19 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.28 0.01 36.19 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.26 0.44 36.63 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.26 0.00 36.64 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.72 0.75 37.39 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.72 0.03 37.42 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.09 0.46 37.88 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.09 0.00 37.88 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.20 38.08 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.12 0.00 38.08 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.20 38.28 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.10 0.00 38.28 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 38.46 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.08 0.00 38.46 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 38.62 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.07 0.00 38.62 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 38.77 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.07 0.00 38.77 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 38.92 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.06 0.00 38.92 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.08 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.07 0.00 39.08 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 39.24 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.08 0.00 39.24 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.41 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.08 0.00 39.41 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.57 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.08 0.00 39.57 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 39.73 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.07 0.00 39.73 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.88 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.07 0.00 39.88 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 40.05 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.09 0.00 40.05 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.22 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.08 0.00 40.22 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.39 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.08 0.00 40.39 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.55 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.07 0.00 40.55 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 40.70 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.07 0.00 40.70 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.86 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.07 0.00 40.86 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.24 41.10 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.07 0.00 41.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.86 0.78 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.86 0.01 41.89 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.58 42.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net) + 0.18 0.00 42.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4) + 0.09 0.44 42.91 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN (net) + 0.09 0.00 42.91 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.31 43.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN_buf (net) + 0.20 0.00 43.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2) + 0.11 0.56 43.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.SEL (net) + 0.11 0.00 43.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.66 0.66 44.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[0].B.SEL (net) + 0.66 0.00 44.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.40 0.62 45.05 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[2].B.SEL_B (net) + 0.40 0.00 45.05 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[2].B.BIT[4].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 4.03 3.31 48.37 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[2].B.BIT[4].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[20] (net) + 4.05 0.03 48.39 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[20]/D (sky130_fd_sc_hd__dfxtp_1) + 48.39 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 3.27 2.54 32.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.73 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 3.27 0.03 32.83 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[20]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 32.58 clock uncertainty + 1.22 33.80 clock reconvergence pessimism + -0.39 33.40 library setup time + 33.40 data required time +----------------------------------------------------------------------------- + 33.40 data required time + -48.39 data arrival time +----------------------------------------------------------------------------- + -14.99 slack (VIOLATED) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[14] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.30 1.18 11.99 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 1.30 0.03 12.02 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.71 12.72 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.12 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.26 0.00 13.59 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.12 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.12 0.00 14.10 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.14 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.14 0.01 14.45 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.38 0.48 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.50 0.18 15.11 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 2.20 1.84 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 2.22 0.18 17.13 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.53 1.54 18.67 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.56 0.10 18.77 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.12 0.21 18.98 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.12 0.00 18.98 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.18 19.16 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.07 0.00 19.16 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 19.32 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.07 0.00 19.32 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.18 19.50 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.10 0.00 19.50 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 19.68 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.09 0.00 19.68 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.19 19.88 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.10 0.00 19.88 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.17 20.04 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.06 0.00 20.04 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 20.20 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.08 0.00 20.20 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.36 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.07 0.00 20.36 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.52 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.07 0.00 20.52 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 20.69 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.09 0.00 20.69 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 20.87 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.09 0.00 20.87 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.04 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.08 0.00 21.04 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.21 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.08 0.00 21.21 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 21.37 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.07 0.00 21.37 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.22 21.58 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.14 0.00 21.58 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.28 21.86 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.19 0.00 21.86 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.31 22.18 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.21 0.00 22.18 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.33 22.51 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.22 0.00 22.51 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.34 22.85 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.22 0.00 22.85 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.23 0.34 23.19 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.23 0.00 23.19 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.33 23.52 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.21 0.00 23.52 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.36 23.88 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.26 0.00 23.88 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.25 24.12 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.09 0.00 24.13 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.21 24.33 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.12 0.00 24.33 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 24.58 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.15 0.00 24.58 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 24.81 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.13 0.00 24.81 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.22 25.03 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.12 0.00 25.03 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 25.27 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.15 0.00 25.27 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 25.51 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.13 0.00 25.51 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.25 25.76 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.16 0.00 25.76 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.20 25.96 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.08 0.00 25.96 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.30 0.33 26.30 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.30 0.00 26.30 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.24 26.54 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.07 0.00 26.54 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.19 26.72 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.11 0.00 26.72 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 26.92 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.09 0.00 26.92 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 27.09 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.07 0.00 27.09 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.24 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.06 0.00 27.24 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 27.40 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.08 0.00 27.40 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.56 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.07 0.00 27.56 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.72 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.07 0.00 27.72 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.87 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.06 0.00 27.87 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.01 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.06 0.00 28.01 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.16 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.06 0.00 28.16 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.31 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.06 0.00 28.31 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.46 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.06 0.00 28.46 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.61 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.06 0.00 28.61 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 28.77 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.08 0.00 28.77 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.16 28.93 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.06 0.00 28.93 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.09 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.08 0.00 29.09 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.26 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.08 0.00 29.26 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.43 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.08 0.00 29.43 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 29.61 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.09 0.00 29.61 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 29.78 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.07 0.00 29.78 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.94 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.08 0.00 29.94 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 30.18 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.17 0.00 30.18 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 30.41 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.10 0.00 30.41 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 30.59 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.08 0.00 30.59 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 30.74 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.06 0.00 30.74 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 30.89 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.07 0.00 30.89 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 31.04 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.07 0.00 31.04 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 31.20 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.08 0.00 31.20 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 31.44 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.17 0.00 31.44 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 31.67 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.10 0.00 31.67 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 31.84 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.07 0.00 31.84 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 32.01 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.08 0.00 32.01 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.18 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.09 0.00 32.18 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.37 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.09 0.00 32.37 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 32.54 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.08 0.00 32.54 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.72 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.09 0.00 32.72 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 32.88 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.07 0.00 32.88 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.22 33.10 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.16 0.00 33.11 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.34 33.45 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.26 0.00 33.45 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 33.88 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.25 0.01 33.88 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.44 34.33 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.28 0.00 34.33 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 34.76 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.25 0.00 34.76 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.35 0.49 35.25 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.35 0.01 35.26 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.47 35.73 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.27 0.00 35.74 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.45 36.19 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.28 0.01 36.19 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.26 0.44 36.63 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.26 0.00 36.64 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.72 0.75 37.39 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.72 0.03 37.42 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.09 0.46 37.88 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.09 0.00 37.88 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.20 38.08 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.12 0.00 38.08 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.20 38.28 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.10 0.00 38.28 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 38.46 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.08 0.00 38.46 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 38.62 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.07 0.00 38.62 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 38.77 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.07 0.00 38.77 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 38.92 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.06 0.00 38.92 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.08 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.07 0.00 39.08 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 39.24 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.08 0.00 39.24 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.41 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.08 0.00 39.41 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.57 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.08 0.00 39.57 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 39.73 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.07 0.00 39.73 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.88 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.07 0.00 39.88 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 40.05 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.09 0.00 40.05 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.22 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.08 0.00 40.22 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.39 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.08 0.00 40.39 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.55 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.07 0.00 40.55 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 40.70 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.07 0.00 40.70 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.86 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.07 0.00 40.86 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.24 41.10 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.07 0.00 41.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.86 0.78 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.86 0.01 41.89 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.58 42.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net) + 0.18 0.00 42.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4) + 0.11 0.48 42.95 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4) + 1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN (net) + 0.11 0.00 42.95 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.32 43.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN_buf (net) + 0.20 0.00 43.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND1/D (sky130_fd_sc_hd__and4bb_2) + 0.10 0.53 43.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND1/X (sky130_fd_sc_hd__and4bb_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.SEL (net) + 0.10 0.00 43.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.63 0.63 44.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[0].B.SEL (net) + 0.63 0.01 44.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.39 0.60 45.04 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[1].B.SEL_B (net) + 0.39 0.00 45.04 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[1].B.BIT[6].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 4.05 3.30 48.35 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[1].B.BIT[6].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[14] (net) + 4.06 0.06 48.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[14]/D (sky130_fd_sc_hd__dfxtp_1) + 48.41 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 3.27 2.54 32.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.73 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 3.27 0.04 32.84 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[14]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 32.59 clock uncertainty + 1.22 33.81 clock reconvergence pessimism + -0.39 33.42 library setup time + 33.42 data required time +----------------------------------------------------------------------------- + 33.42 data required time + -48.41 data arrival time +----------------------------------------------------------------------------- + -14.99 slack (VIOLATED) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[18] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.30 1.18 11.99 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 1.30 0.03 12.02 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.71 12.72 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.12 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.26 0.00 13.59 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.12 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.12 0.00 14.10 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.14 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.14 0.01 14.45 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.38 0.48 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.50 0.18 15.11 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 2.20 1.84 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 2.22 0.18 17.13 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.53 1.54 18.67 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.56 0.10 18.77 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.12 0.21 18.98 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.12 0.00 18.98 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.18 19.16 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.07 0.00 19.16 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 19.32 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.07 0.00 19.32 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.18 19.50 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.10 0.00 19.50 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 19.68 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.09 0.00 19.68 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.19 19.88 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.10 0.00 19.88 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.17 20.04 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.06 0.00 20.04 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 20.20 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.08 0.00 20.20 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.36 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.07 0.00 20.36 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.52 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.07 0.00 20.52 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 20.69 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.09 0.00 20.69 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 20.87 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.09 0.00 20.87 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.04 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.08 0.00 21.04 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.21 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.08 0.00 21.21 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 21.37 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.07 0.00 21.37 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.22 21.58 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.14 0.00 21.58 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.28 21.86 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.19 0.00 21.86 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.31 22.18 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.21 0.00 22.18 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.33 22.51 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.22 0.00 22.51 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.34 22.85 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.22 0.00 22.85 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.23 0.34 23.19 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.23 0.00 23.19 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.33 23.52 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.21 0.00 23.52 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.36 23.88 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.26 0.00 23.88 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.25 24.12 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.09 0.00 24.13 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.21 24.33 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.12 0.00 24.33 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 24.58 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.15 0.00 24.58 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 24.81 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.13 0.00 24.81 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.22 25.03 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.12 0.00 25.03 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 25.27 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.15 0.00 25.27 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 25.51 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.13 0.00 25.51 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.25 25.76 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.16 0.00 25.76 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.20 25.96 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.08 0.00 25.96 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.30 0.33 26.30 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.30 0.00 26.30 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.24 26.54 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.07 0.00 26.54 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.19 26.72 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.11 0.00 26.72 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 26.92 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.09 0.00 26.92 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 27.09 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.07 0.00 27.09 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.24 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.06 0.00 27.24 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 27.40 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.08 0.00 27.40 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.56 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.07 0.00 27.56 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.72 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.07 0.00 27.72 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.87 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.06 0.00 27.87 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.01 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.06 0.00 28.01 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.16 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.06 0.00 28.16 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.31 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.06 0.00 28.31 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.46 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.06 0.00 28.46 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.61 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.06 0.00 28.61 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 28.77 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.08 0.00 28.77 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.16 28.93 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.06 0.00 28.93 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.09 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.08 0.00 29.09 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.26 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.08 0.00 29.26 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.43 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.08 0.00 29.43 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 29.61 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.09 0.00 29.61 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 29.78 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.07 0.00 29.78 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.94 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.08 0.00 29.94 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 30.18 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.17 0.00 30.18 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 30.41 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.10 0.00 30.41 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 30.59 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.08 0.00 30.59 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 30.74 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.06 0.00 30.74 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 30.89 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.07 0.00 30.89 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 31.04 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.07 0.00 31.04 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 31.20 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.08 0.00 31.20 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 31.44 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.17 0.00 31.44 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 31.67 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.10 0.00 31.67 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 31.84 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.07 0.00 31.84 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 32.01 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.08 0.00 32.01 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.18 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.09 0.00 32.18 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.37 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.09 0.00 32.37 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 32.54 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.08 0.00 32.54 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.72 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.09 0.00 32.72 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 32.88 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.07 0.00 32.88 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.22 33.10 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.16 0.00 33.11 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.34 33.45 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.26 0.00 33.45 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 33.88 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.25 0.01 33.88 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.44 34.33 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.28 0.00 34.33 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 34.76 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.25 0.00 34.76 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.35 0.49 35.25 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.35 0.01 35.26 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.47 35.73 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.27 0.00 35.74 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.45 36.19 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.28 0.01 36.19 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.26 0.44 36.63 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.26 0.00 36.64 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.72 0.75 37.39 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.72 0.03 37.42 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.09 0.46 37.88 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.09 0.00 37.88 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.20 38.08 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.12 0.00 38.08 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.20 38.28 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.10 0.00 38.28 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 38.46 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.08 0.00 38.46 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 38.62 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.07 0.00 38.62 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 38.77 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.07 0.00 38.77 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 38.92 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.06 0.00 38.92 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.08 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.07 0.00 39.08 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 39.24 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.08 0.00 39.24 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.41 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.08 0.00 39.41 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.57 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.08 0.00 39.57 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 39.73 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.07 0.00 39.73 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.88 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.07 0.00 39.88 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 40.05 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.09 0.00 40.05 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.22 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.08 0.00 40.22 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.39 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.08 0.00 40.39 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.55 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.07 0.00 40.55 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 40.70 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.07 0.00 40.70 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.86 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.07 0.00 40.86 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.24 41.10 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.07 0.00 41.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.86 0.78 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.86 0.01 41.89 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.58 42.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net) + 0.18 0.00 42.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4) + 0.09 0.44 42.91 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN (net) + 0.09 0.00 42.91 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.31 43.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN_buf (net) + 0.20 0.00 43.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2) + 0.11 0.56 43.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.SEL (net) + 0.11 0.00 43.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.66 0.66 44.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[0].B.SEL (net) + 0.66 0.00 44.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.40 0.62 45.05 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[2].B.SEL_B (net) + 0.40 0.00 45.05 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[2].B.BIT[2].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 4.02 3.30 48.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[2].B.BIT[2].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[18] (net) + 4.03 0.03 48.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[18]/D (sky130_fd_sc_hd__dfxtp_1) + 48.38 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 3.27 2.54 32.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.73 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 3.27 0.02 32.82 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[18]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 32.57 clock uncertainty + 1.22 33.79 clock reconvergence pessimism + -0.39 33.40 library setup time + 33.40 data required time +----------------------------------------------------------------------------- + 33.40 data required time + -48.38 data arrival time +----------------------------------------------------------------------------- + -14.98 slack (VIOLATED) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[5] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.30 1.18 11.99 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 1.30 0.03 12.02 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.71 12.72 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.12 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.26 0.00 13.59 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.12 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.12 0.00 14.10 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.14 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.14 0.01 14.45 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.38 0.48 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.50 0.18 15.11 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 2.20 1.84 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 2.22 0.18 17.13 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.53 1.54 18.67 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.56 0.10 18.77 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.12 0.21 18.98 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.12 0.00 18.98 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.18 19.16 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.07 0.00 19.16 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 19.32 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.07 0.00 19.32 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.18 19.50 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.10 0.00 19.50 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 19.68 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.09 0.00 19.68 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.19 19.88 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.10 0.00 19.88 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.17 20.04 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.06 0.00 20.04 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 20.20 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.08 0.00 20.20 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.36 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.07 0.00 20.36 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.52 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.07 0.00 20.52 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 20.69 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.09 0.00 20.69 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 20.87 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.09 0.00 20.87 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.04 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.08 0.00 21.04 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.21 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.08 0.00 21.21 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 21.37 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.07 0.00 21.37 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.22 21.58 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.14 0.00 21.58 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.28 21.86 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.19 0.00 21.86 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.31 22.18 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.21 0.00 22.18 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.33 22.51 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.22 0.00 22.51 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.34 22.85 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.22 0.00 22.85 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.23 0.34 23.19 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.23 0.00 23.19 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.33 23.52 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.21 0.00 23.52 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.36 23.88 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.26 0.00 23.88 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.25 24.12 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.09 0.00 24.13 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.21 24.33 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.12 0.00 24.33 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 24.58 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.15 0.00 24.58 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 24.81 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.13 0.00 24.81 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.22 25.03 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.12 0.00 25.03 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 25.27 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.15 0.00 25.27 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 25.51 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.13 0.00 25.51 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.25 25.76 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.16 0.00 25.76 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.20 25.96 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.08 0.00 25.96 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.30 0.33 26.30 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.30 0.00 26.30 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.24 26.54 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.07 0.00 26.54 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.19 26.72 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.11 0.00 26.72 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 26.92 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.09 0.00 26.92 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 27.09 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.07 0.00 27.09 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.24 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.06 0.00 27.24 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 27.40 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.08 0.00 27.40 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.56 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.07 0.00 27.56 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.72 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.07 0.00 27.72 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.87 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.06 0.00 27.87 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.01 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.06 0.00 28.01 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.16 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.06 0.00 28.16 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.31 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.06 0.00 28.31 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.46 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.06 0.00 28.46 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.61 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.06 0.00 28.61 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 28.77 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.08 0.00 28.77 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.16 28.93 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.06 0.00 28.93 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.09 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.08 0.00 29.09 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.26 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.08 0.00 29.26 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.43 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.08 0.00 29.43 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 29.61 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.09 0.00 29.61 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 29.78 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.07 0.00 29.78 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.94 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.08 0.00 29.94 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 30.18 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.17 0.00 30.18 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 30.41 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.10 0.00 30.41 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 30.59 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.08 0.00 30.59 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 30.74 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.06 0.00 30.74 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 30.89 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.07 0.00 30.89 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 31.04 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.07 0.00 31.04 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 31.20 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.08 0.00 31.20 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 31.44 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.17 0.00 31.44 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 31.67 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.10 0.00 31.67 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 31.84 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.07 0.00 31.84 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 32.01 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.08 0.00 32.01 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.18 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.09 0.00 32.18 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.37 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.09 0.00 32.37 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 32.54 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.08 0.00 32.54 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.72 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.09 0.00 32.72 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 32.88 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.07 0.00 32.88 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.22 33.10 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.16 0.00 33.11 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.34 33.45 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.26 0.00 33.45 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 33.88 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.25 0.01 33.88 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.44 34.33 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.28 0.00 34.33 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 34.76 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.25 0.00 34.76 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.35 0.49 35.25 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.35 0.01 35.26 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.47 35.73 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.27 0.00 35.74 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.45 36.19 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.28 0.01 36.19 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.26 0.44 36.63 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.26 0.00 36.64 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.72 0.75 37.39 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.72 0.03 37.42 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.09 0.46 37.88 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.09 0.00 37.88 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.20 38.08 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.12 0.00 38.08 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.20 38.28 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.10 0.00 38.28 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 38.46 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.08 0.00 38.46 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 38.62 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.07 0.00 38.62 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 38.77 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.07 0.00 38.77 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 38.92 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.06 0.00 38.92 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.08 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.07 0.00 39.08 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 39.24 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.08 0.00 39.24 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.41 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.08 0.00 39.41 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.57 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.08 0.00 39.57 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 39.73 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.07 0.00 39.73 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.88 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.07 0.00 39.88 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 40.05 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.09 0.00 40.05 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.22 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.08 0.00 40.22 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.39 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.08 0.00 40.39 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.55 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.07 0.00 40.55 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 40.70 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.07 0.00 40.70 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.86 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.07 0.00 40.86 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.24 41.10 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.07 0.00 41.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.86 0.78 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.86 0.01 41.89 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.58 42.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net) + 0.18 0.00 42.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4) + 0.09 0.44 42.91 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN (net) + 0.09 0.00 42.91 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.31 43.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN_buf (net) + 0.20 0.00 43.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND5/D (sky130_fd_sc_hd__and4b_2) + 0.11 0.55 43.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND5/X (sky130_fd_sc_hd__and4b_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.SEL (net) + 0.11 0.00 43.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.58 0.60 44.37 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.07 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.SEL (net) + 0.58 0.00 44.37 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.52 0.70 45.07 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 16 0.06 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.SEL_B (net) + 0.52 0.00 45.08 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.BIT[5].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 3.98 3.32 48.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.BIT[5].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[5] (net) + 3.99 0.01 48.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[5]/D (sky130_fd_sc_hd__dfxtp_1) + 48.41 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 3.27 2.54 32.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.73 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 3.27 0.06 32.86 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[5]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 32.61 clock uncertainty + 1.22 33.83 clock reconvergence pessimism + -0.39 33.44 library setup time + 33.44 data required time +----------------------------------------------------------------------------- + 33.44 data required time + -48.41 data arrival time +----------------------------------------------------------------------------- + -14.96 slack (VIOLATED) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[8] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.30 1.18 11.99 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 1.30 0.03 12.02 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.71 12.72 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.12 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.26 0.00 13.59 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.12 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.12 0.00 14.10 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.14 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.14 0.01 14.45 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.38 0.48 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.50 0.18 15.11 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 2.20 1.84 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 2.22 0.18 17.13 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.53 1.54 18.67 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.56 0.10 18.77 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.12 0.21 18.98 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.12 0.00 18.98 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.18 19.16 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.07 0.00 19.16 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 19.32 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.07 0.00 19.32 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.18 19.50 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.10 0.00 19.50 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 19.68 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.09 0.00 19.68 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.19 19.88 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.10 0.00 19.88 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.17 20.04 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.06 0.00 20.04 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 20.20 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.08 0.00 20.20 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.36 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.07 0.00 20.36 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.52 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.07 0.00 20.52 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 20.69 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.09 0.00 20.69 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 20.87 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.09 0.00 20.87 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.04 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.08 0.00 21.04 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.21 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.08 0.00 21.21 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 21.37 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.07 0.00 21.37 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.22 21.58 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.14 0.00 21.58 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.28 21.86 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.19 0.00 21.86 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.31 22.18 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.21 0.00 22.18 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.33 22.51 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.22 0.00 22.51 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.34 22.85 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.22 0.00 22.85 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.23 0.34 23.19 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.23 0.00 23.19 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.33 23.52 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.21 0.00 23.52 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.36 23.88 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.26 0.00 23.88 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.25 24.12 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.09 0.00 24.13 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.21 24.33 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.12 0.00 24.33 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 24.58 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.15 0.00 24.58 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 24.81 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.13 0.00 24.81 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.22 25.03 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.12 0.00 25.03 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 25.27 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.15 0.00 25.27 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 25.51 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.13 0.00 25.51 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.25 25.76 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.16 0.00 25.76 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.20 25.96 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.08 0.00 25.96 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.30 0.33 26.30 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.30 0.00 26.30 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.24 26.54 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.07 0.00 26.54 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.19 26.72 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.11 0.00 26.72 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 26.92 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.09 0.00 26.92 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 27.09 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.07 0.00 27.09 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.24 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.06 0.00 27.24 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 27.40 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.08 0.00 27.40 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.56 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.07 0.00 27.56 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.72 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.07 0.00 27.72 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.87 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.06 0.00 27.87 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.01 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.06 0.00 28.01 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.16 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.06 0.00 28.16 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.31 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.06 0.00 28.31 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.46 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.06 0.00 28.46 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.61 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.06 0.00 28.61 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 28.77 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.08 0.00 28.77 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.16 28.93 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.06 0.00 28.93 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.09 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.08 0.00 29.09 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.26 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.08 0.00 29.26 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.43 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.08 0.00 29.43 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 29.61 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.09 0.00 29.61 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 29.78 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.07 0.00 29.78 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.94 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.08 0.00 29.94 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 30.18 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.17 0.00 30.18 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 30.41 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.10 0.00 30.41 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 30.59 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.08 0.00 30.59 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 30.74 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.06 0.00 30.74 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 30.89 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.07 0.00 30.89 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 31.04 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.07 0.00 31.04 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 31.20 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.08 0.00 31.20 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 31.44 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.17 0.00 31.44 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 31.67 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.10 0.00 31.67 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 31.84 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.07 0.00 31.84 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 32.01 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.08 0.00 32.01 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.18 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.09 0.00 32.18 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.37 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.09 0.00 32.37 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 32.54 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.08 0.00 32.54 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.72 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.09 0.00 32.72 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 32.88 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.07 0.00 32.88 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.22 33.10 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.16 0.00 33.11 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.34 33.45 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.26 0.00 33.45 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 33.88 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.25 0.01 33.88 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.44 34.33 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.28 0.00 34.33 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 34.76 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.25 0.00 34.76 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.35 0.49 35.25 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.35 0.01 35.26 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.47 35.73 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.27 0.00 35.74 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.45 36.19 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.28 0.01 36.19 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.26 0.44 36.63 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.26 0.00 36.64 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.72 0.75 37.39 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.72 0.03 37.42 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.09 0.46 37.88 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.09 0.00 37.88 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.20 38.08 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.12 0.00 38.08 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.20 38.28 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.10 0.00 38.28 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 38.46 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.08 0.00 38.46 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 38.62 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.07 0.00 38.62 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 38.77 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.07 0.00 38.77 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 38.92 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.06 0.00 38.92 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.08 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.07 0.00 39.08 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 39.24 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.08 0.00 39.24 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.41 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.08 0.00 39.41 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.57 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.08 0.00 39.57 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 39.73 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.07 0.00 39.73 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.88 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.07 0.00 39.88 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 40.05 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.09 0.00 40.05 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.22 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.08 0.00 40.22 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.39 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.08 0.00 40.39 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.55 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.07 0.00 40.55 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 40.70 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.07 0.00 40.70 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.86 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.07 0.00 40.86 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.24 41.10 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.07 0.00 41.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.86 0.78 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.86 0.00 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.58 42.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net) + 0.18 0.00 42.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4) + 0.09 0.46 42.92 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net) + 0.09 0.00 42.92 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.28 43.20 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net) + 0.16 0.00 43.20 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND7/D (sky130_fd_sc_hd__and4_2) + 0.11 0.53 43.73 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND7/X (sky130_fd_sc_hd__and4_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SEL (net) + 0.11 0.00 43.73 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.85 0.79 44.52 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.SEL (net) + 0.85 0.01 44.53 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.41 0.67 45.20 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.SEL_B (net) + 0.41 0.00 45.20 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.BIT[0].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 3.89 3.22 48.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.BIT[0].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[8] (net) + 3.90 0.03 48.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[8]/D (sky130_fd_sc_hd__dfxtp_1) + 48.45 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 3.27 2.54 32.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.73 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 3.27 0.10 32.90 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[8]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 32.65 clock uncertainty + 1.22 33.87 clock reconvergence pessimism + -0.38 33.49 library setup time + 33.49 data required time +----------------------------------------------------------------------------- + 33.49 data required time + -48.45 data arrival time +----------------------------------------------------------------------------- + -14.96 slack (VIOLATED) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[16] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.30 1.18 11.99 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 1.30 0.03 12.02 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.71 12.72 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.12 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.26 0.00 13.59 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.12 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.12 0.00 14.10 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.14 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.14 0.01 14.45 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.38 0.48 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.50 0.18 15.11 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 2.20 1.84 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 2.22 0.18 17.13 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.53 1.54 18.67 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.56 0.10 18.77 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.12 0.21 18.98 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.12 0.00 18.98 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.18 19.16 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.07 0.00 19.16 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 19.32 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.07 0.00 19.32 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.18 19.50 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.10 0.00 19.50 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 19.68 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.09 0.00 19.68 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.19 19.88 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.10 0.00 19.88 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.17 20.04 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.06 0.00 20.04 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 20.20 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.08 0.00 20.20 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.36 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.07 0.00 20.36 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.52 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.07 0.00 20.52 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 20.69 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.09 0.00 20.69 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 20.87 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.09 0.00 20.87 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.04 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.08 0.00 21.04 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.21 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.08 0.00 21.21 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 21.37 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.07 0.00 21.37 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.22 21.58 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.14 0.00 21.58 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.28 21.86 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.19 0.00 21.86 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.31 22.18 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.21 0.00 22.18 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.33 22.51 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.22 0.00 22.51 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.34 22.85 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.22 0.00 22.85 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.23 0.34 23.19 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.23 0.00 23.19 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.33 23.52 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.21 0.00 23.52 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.36 23.88 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.26 0.00 23.88 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.25 24.12 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.09 0.00 24.13 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.21 24.33 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.12 0.00 24.33 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 24.58 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.15 0.00 24.58 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 24.81 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.13 0.00 24.81 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.22 25.03 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.12 0.00 25.03 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 25.27 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.15 0.00 25.27 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 25.51 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.13 0.00 25.51 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.25 25.76 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.16 0.00 25.76 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.20 25.96 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.08 0.00 25.96 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.30 0.33 26.30 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.30 0.00 26.30 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.24 26.54 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.07 0.00 26.54 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.19 26.72 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.11 0.00 26.72 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 26.92 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.09 0.00 26.92 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 27.09 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.07 0.00 27.09 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.24 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.06 0.00 27.24 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 27.40 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.08 0.00 27.40 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.56 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.07 0.00 27.56 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.72 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.07 0.00 27.72 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.87 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.06 0.00 27.87 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.01 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.06 0.00 28.01 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.16 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.06 0.00 28.16 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.31 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.06 0.00 28.31 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.46 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.06 0.00 28.46 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.61 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.06 0.00 28.61 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 28.77 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.08 0.00 28.77 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.16 28.93 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.06 0.00 28.93 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.09 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.08 0.00 29.09 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.26 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.08 0.00 29.26 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.43 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.08 0.00 29.43 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 29.61 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.09 0.00 29.61 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 29.78 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.07 0.00 29.78 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.94 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.08 0.00 29.94 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 30.18 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.17 0.00 30.18 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 30.41 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.10 0.00 30.41 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 30.59 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.08 0.00 30.59 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 30.74 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.06 0.00 30.74 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 30.89 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.07 0.00 30.89 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 31.04 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.07 0.00 31.04 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 31.20 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.08 0.00 31.20 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 31.44 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.17 0.00 31.44 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 31.67 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.10 0.00 31.67 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 31.84 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.07 0.00 31.84 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 32.01 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.08 0.00 32.01 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.18 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.09 0.00 32.18 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.37 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.09 0.00 32.37 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 32.54 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.08 0.00 32.54 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.72 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.09 0.00 32.72 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 32.88 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.07 0.00 32.88 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.22 33.10 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.16 0.00 33.11 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.34 33.45 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.26 0.00 33.45 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 33.88 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.25 0.01 33.88 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.44 34.33 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.28 0.00 34.33 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 34.76 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.25 0.00 34.76 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.35 0.49 35.25 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.35 0.01 35.26 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.47 35.73 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.27 0.00 35.74 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.45 36.19 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.28 0.01 36.19 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.26 0.44 36.63 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.26 0.00 36.64 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.72 0.75 37.39 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.72 0.03 37.42 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.09 0.46 37.88 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.09 0.00 37.88 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.20 38.08 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.12 0.00 38.08 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.20 38.28 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.10 0.00 38.28 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 38.46 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.08 0.00 38.46 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 38.62 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.07 0.00 38.62 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 38.77 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.07 0.00 38.77 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 38.92 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.06 0.00 38.92 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.08 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.07 0.00 39.08 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 39.24 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.08 0.00 39.24 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.41 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.08 0.00 39.41 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.57 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.08 0.00 39.57 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 39.73 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.07 0.00 39.73 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.88 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.07 0.00 39.88 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 40.05 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.09 0.00 40.05 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.22 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.08 0.00 40.22 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.39 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.08 0.00 40.39 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.55 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.07 0.00 40.55 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 40.70 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.07 0.00 40.70 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.86 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.07 0.00 40.86 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.24 41.10 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.07 0.00 41.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.86 0.78 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.86 0.01 41.89 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.58 42.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net) + 0.18 0.00 42.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4) + 0.09 0.44 42.91 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN (net) + 0.09 0.00 42.91 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.31 43.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN_buf (net) + 0.20 0.00 43.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND2/D (sky130_fd_sc_hd__and4bb_2) + 0.10 0.53 43.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND2/X (sky130_fd_sc_hd__and4bb_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[2].W.SEL (net) + 0.10 0.00 43.75 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[2].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.67 0.66 44.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[2].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[2].W.BYTE[0].B.SEL (net) + 0.67 0.00 44.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[2].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.42 0.65 45.06 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[2].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.05 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[2].W.BYTE[2].B.SEL_B (net) + 0.42 0.00 45.06 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[2].W.BYTE[2].B.BIT[0].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 3.99 3.28 48.34 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[2].W.BYTE[2].B.BIT[0].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[16] (net) + 4.00 0.02 48.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[16]/D (sky130_fd_sc_hd__dfxtp_1) + 48.36 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 3.27 2.54 32.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.73 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 3.27 0.03 32.82 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[16]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 32.57 clock uncertainty + 1.22 33.79 clock reconvergence pessimism + -0.39 33.41 library setup time + 33.41 data required time +----------------------------------------------------------------------------- + 33.41 data required time + -48.36 data arrival time +----------------------------------------------------------------------------- + -14.95 slack (VIOLATED) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[23] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.30 1.18 11.99 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 1.30 0.03 12.02 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.71 12.72 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.12 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.26 0.00 13.59 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.12 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.12 0.00 14.10 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.14 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.14 0.01 14.45 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.38 0.48 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.50 0.18 15.11 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 2.20 1.84 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 2.22 0.18 17.13 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.53 1.54 18.67 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.56 0.10 18.77 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.12 0.21 18.98 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.12 0.00 18.98 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.18 19.16 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.07 0.00 19.16 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 19.32 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.07 0.00 19.32 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.18 19.50 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.10 0.00 19.50 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 19.68 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.09 0.00 19.68 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.19 19.88 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.10 0.00 19.88 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.17 20.04 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.06 0.00 20.04 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 20.20 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.08 0.00 20.20 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.36 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.07 0.00 20.36 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.52 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.07 0.00 20.52 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 20.69 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.09 0.00 20.69 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 20.87 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.09 0.00 20.87 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.04 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.08 0.00 21.04 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.21 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.08 0.00 21.21 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 21.37 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.07 0.00 21.37 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.22 21.58 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.14 0.00 21.58 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.28 21.86 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.19 0.00 21.86 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.31 22.18 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.21 0.00 22.18 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.33 22.51 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.22 0.00 22.51 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.34 22.85 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.22 0.00 22.85 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.23 0.34 23.19 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.23 0.00 23.19 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.33 23.52 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.21 0.00 23.52 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.36 23.88 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.26 0.00 23.88 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.25 24.12 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.09 0.00 24.13 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.21 24.33 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.12 0.00 24.33 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 24.58 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.15 0.00 24.58 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 24.81 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.13 0.00 24.81 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.22 25.03 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.12 0.00 25.03 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 25.27 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.15 0.00 25.27 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 25.51 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.13 0.00 25.51 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.25 25.76 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.16 0.00 25.76 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.20 25.96 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.08 0.00 25.96 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.30 0.33 26.30 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.30 0.00 26.30 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.24 26.54 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.07 0.00 26.54 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.19 26.72 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.11 0.00 26.72 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 26.92 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.09 0.00 26.92 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 27.09 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.07 0.00 27.09 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.24 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.06 0.00 27.24 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 27.40 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.08 0.00 27.40 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.56 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.07 0.00 27.56 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.72 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.07 0.00 27.72 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.87 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.06 0.00 27.87 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.01 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.06 0.00 28.01 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.16 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.06 0.00 28.16 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.31 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.06 0.00 28.31 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.46 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.06 0.00 28.46 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.61 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.06 0.00 28.61 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 28.77 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.08 0.00 28.77 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.16 28.93 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.06 0.00 28.93 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.09 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.08 0.00 29.09 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.26 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.08 0.00 29.26 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.43 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.08 0.00 29.43 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 29.61 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.09 0.00 29.61 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 29.78 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.07 0.00 29.78 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.94 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.08 0.00 29.94 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 30.18 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.17 0.00 30.18 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 30.41 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.10 0.00 30.41 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 30.59 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.08 0.00 30.59 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 30.74 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.06 0.00 30.74 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 30.89 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.07 0.00 30.89 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 31.04 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.07 0.00 31.04 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 31.20 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.08 0.00 31.20 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 31.44 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.17 0.00 31.44 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 31.67 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.10 0.00 31.67 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 31.84 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.07 0.00 31.84 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 32.01 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.08 0.00 32.01 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.18 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.09 0.00 32.18 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.37 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.09 0.00 32.37 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 32.54 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.08 0.00 32.54 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.72 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.09 0.00 32.72 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 32.88 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.07 0.00 32.88 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.22 33.10 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.16 0.00 33.11 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.34 33.45 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.26 0.00 33.45 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 33.88 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.25 0.01 33.88 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.44 34.33 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.28 0.00 34.33 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 34.76 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.25 0.00 34.76 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.35 0.49 35.25 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.35 0.01 35.26 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.47 35.73 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.27 0.00 35.74 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.45 36.19 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.28 0.01 36.19 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.26 0.44 36.63 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.26 0.00 36.64 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.72 0.75 37.39 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.72 0.03 37.42 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.09 0.46 37.88 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.09 0.00 37.88 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.20 38.08 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.12 0.00 38.08 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.20 38.28 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.10 0.00 38.28 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 38.46 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.08 0.00 38.46 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 38.62 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.07 0.00 38.62 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 38.77 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.07 0.00 38.77 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 38.92 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.06 0.00 38.92 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.08 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.07 0.00 39.08 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 39.24 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.08 0.00 39.24 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.41 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.08 0.00 39.41 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.57 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.08 0.00 39.57 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 39.73 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.07 0.00 39.73 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.88 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.07 0.00 39.88 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 40.05 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.09 0.00 40.05 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.22 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.08 0.00 40.22 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.39 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.08 0.00 40.39 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.55 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.07 0.00 40.55 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 40.70 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.07 0.00 40.70 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.86 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.07 0.00 40.86 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.24 41.10 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.07 0.00 41.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.86 0.78 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.86 0.01 41.89 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.58 42.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net) + 0.18 0.00 42.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4) + 0.09 0.44 42.91 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN (net) + 0.09 0.00 42.91 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.31 43.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN_buf (net) + 0.20 0.00 43.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2) + 0.11 0.56 43.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.SEL (net) + 0.11 0.00 43.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.66 0.66 44.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[0].B.SEL (net) + 0.66 0.00 44.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.40 0.62 45.05 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[2].B.SEL_B (net) + 0.40 0.00 45.05 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[2].B.BIT[7].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 3.98 3.27 48.33 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[2].B.BIT[7].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[23] (net) + 3.99 0.03 48.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[23]/D (sky130_fd_sc_hd__dfxtp_1) + 48.36 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 3.27 2.54 32.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.73 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 3.27 0.03 32.83 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[23]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 32.58 clock uncertainty + 1.22 33.80 clock reconvergence pessimism + -0.39 33.41 library setup time + 33.41 data required time +----------------------------------------------------------------------------- + 33.41 data required time + -48.36 data arrival time +----------------------------------------------------------------------------- + -14.94 slack (VIOLATED) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[4] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.30 1.18 11.99 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 1.30 0.03 12.02 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.71 12.72 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.12 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.26 0.00 13.59 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.12 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.12 0.00 14.10 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.14 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.14 0.01 14.45 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.38 0.48 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.50 0.18 15.11 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 2.20 1.84 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 2.22 0.18 17.13 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.53 1.54 18.67 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.56 0.10 18.77 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.12 0.21 18.98 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.12 0.00 18.98 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.18 19.16 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.07 0.00 19.16 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 19.32 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.07 0.00 19.32 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.18 19.50 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.10 0.00 19.50 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 19.68 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.09 0.00 19.68 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.19 19.88 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.10 0.00 19.88 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.17 20.04 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.06 0.00 20.04 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 20.20 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.08 0.00 20.20 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.36 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.07 0.00 20.36 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.52 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.07 0.00 20.52 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 20.69 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.09 0.00 20.69 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 20.87 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.09 0.00 20.87 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.04 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.08 0.00 21.04 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.21 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.08 0.00 21.21 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 21.37 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.07 0.00 21.37 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.22 21.58 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.14 0.00 21.58 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.28 21.86 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.19 0.00 21.86 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.31 22.18 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.21 0.00 22.18 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.33 22.51 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.22 0.00 22.51 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.34 22.85 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.22 0.00 22.85 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.23 0.34 23.19 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.23 0.00 23.19 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.33 23.52 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.21 0.00 23.52 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.36 23.88 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.26 0.00 23.88 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.25 24.12 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.09 0.00 24.13 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.21 24.33 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.12 0.00 24.33 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 24.58 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.15 0.00 24.58 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 24.81 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.13 0.00 24.81 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.22 25.03 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.12 0.00 25.03 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 25.27 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.15 0.00 25.27 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 25.51 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.13 0.00 25.51 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.25 25.76 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.16 0.00 25.76 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.20 25.96 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.08 0.00 25.96 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.30 0.33 26.30 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.30 0.00 26.30 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.24 26.54 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.07 0.00 26.54 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.19 26.72 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.11 0.00 26.72 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 26.92 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.09 0.00 26.92 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 27.09 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.07 0.00 27.09 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.24 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.06 0.00 27.24 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 27.40 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.08 0.00 27.40 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.56 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.07 0.00 27.56 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.72 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.07 0.00 27.72 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.87 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.06 0.00 27.87 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.01 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.06 0.00 28.01 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.16 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.06 0.00 28.16 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.31 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.06 0.00 28.31 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.46 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.06 0.00 28.46 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.61 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.06 0.00 28.61 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 28.77 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.08 0.00 28.77 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.16 28.93 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.06 0.00 28.93 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.09 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.08 0.00 29.09 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.26 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.08 0.00 29.26 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.43 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.08 0.00 29.43 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 29.61 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.09 0.00 29.61 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 29.78 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.07 0.00 29.78 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.94 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.08 0.00 29.94 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 30.18 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.17 0.00 30.18 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 30.41 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.10 0.00 30.41 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 30.59 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.08 0.00 30.59 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 30.74 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.06 0.00 30.74 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 30.89 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.07 0.00 30.89 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 31.04 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.07 0.00 31.04 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 31.20 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.08 0.00 31.20 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 31.44 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.17 0.00 31.44 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 31.67 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.10 0.00 31.67 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 31.84 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.07 0.00 31.84 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 32.01 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.08 0.00 32.01 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.18 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.09 0.00 32.18 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.37 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.09 0.00 32.37 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 32.54 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.08 0.00 32.54 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.72 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.09 0.00 32.72 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 32.88 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.07 0.00 32.88 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.22 33.10 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.16 0.00 33.11 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.34 33.45 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.26 0.00 33.45 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 33.88 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.25 0.01 33.88 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.44 34.33 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.28 0.00 34.33 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 34.76 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.25 0.00 34.76 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.35 0.49 35.25 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.35 0.01 35.26 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.47 35.73 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.27 0.00 35.74 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.45 36.19 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.28 0.01 36.19 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.26 0.44 36.63 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.26 0.00 36.64 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.72 0.75 37.39 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.72 0.03 37.42 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.09 0.46 37.88 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.09 0.00 37.88 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.20 38.08 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.12 0.00 38.08 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.20 38.28 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.10 0.00 38.28 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 38.46 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.08 0.00 38.46 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 38.62 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.07 0.00 38.62 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 38.77 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.07 0.00 38.77 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 38.92 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.06 0.00 38.92 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.08 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.07 0.00 39.08 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 39.24 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.08 0.00 39.24 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.41 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.08 0.00 39.41 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.57 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.08 0.00 39.57 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 39.73 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.07 0.00 39.73 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.88 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.07 0.00 39.88 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 40.05 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.09 0.00 40.05 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.22 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.08 0.00 40.22 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.39 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.08 0.00 40.39 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.55 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.07 0.00 40.55 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 40.70 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.07 0.00 40.70 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.86 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.07 0.00 40.86 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.24 41.10 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.07 0.00 41.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.86 0.78 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.86 0.00 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.58 42.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net) + 0.18 0.00 42.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4) + 0.09 0.46 42.92 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net) + 0.09 0.00 42.92 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.28 43.20 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net) + 0.16 0.00 43.20 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND7/D (sky130_fd_sc_hd__and4_2) + 0.11 0.53 43.73 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND7/X (sky130_fd_sc_hd__and4_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SEL (net) + 0.11 0.00 43.73 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.85 0.79 44.52 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.SEL (net) + 0.85 0.00 44.53 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.41 0.67 45.19 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.SEL_B (net) + 0.41 0.00 45.20 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.BIT[4].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 3.89 3.20 48.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.BIT[4].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.20 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[4] (net) + 3.90 0.04 48.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[4]/D (sky130_fd_sc_hd__dfxtp_1) + 48.44 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 3.27 2.54 32.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.73 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 3.27 0.11 32.90 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[4]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 32.65 clock uncertainty + 1.22 33.87 clock reconvergence pessimism + -0.38 33.50 library setup time + 33.50 data required time +----------------------------------------------------------------------------- + 33.50 data required time + -48.44 data arrival time +----------------------------------------------------------------------------- + -14.94 slack (VIOLATED) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[11] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.30 1.18 11.99 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 1.30 0.03 12.02 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.71 12.72 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.12 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.26 0.00 13.59 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.12 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.12 0.00 14.10 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.14 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.14 0.01 14.45 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.38 0.48 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.50 0.18 15.11 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 2.20 1.84 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 2.22 0.18 17.13 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.53 1.54 18.67 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.56 0.10 18.77 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.12 0.21 18.98 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.12 0.00 18.98 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.18 19.16 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.07 0.00 19.16 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 19.32 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.07 0.00 19.32 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.18 19.50 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.10 0.00 19.50 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 19.68 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.09 0.00 19.68 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.19 19.88 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.10 0.00 19.88 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.17 20.04 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.06 0.00 20.04 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 20.20 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.08 0.00 20.20 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.36 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.07 0.00 20.36 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.52 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.07 0.00 20.52 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 20.69 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.09 0.00 20.69 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 20.87 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.09 0.00 20.87 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.04 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.08 0.00 21.04 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.21 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.08 0.00 21.21 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 21.37 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.07 0.00 21.37 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.22 21.58 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.14 0.00 21.58 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.28 21.86 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.19 0.00 21.86 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.31 22.18 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.21 0.00 22.18 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.33 22.51 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.22 0.00 22.51 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.34 22.85 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.22 0.00 22.85 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.23 0.34 23.19 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.23 0.00 23.19 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.33 23.52 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.21 0.00 23.52 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.36 23.88 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.26 0.00 23.88 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.25 24.12 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.09 0.00 24.13 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.21 24.33 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.12 0.00 24.33 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 24.58 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.15 0.00 24.58 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 24.81 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.13 0.00 24.81 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.22 25.03 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.12 0.00 25.03 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 25.27 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.15 0.00 25.27 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 25.51 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.13 0.00 25.51 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.25 25.76 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.16 0.00 25.76 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.20 25.96 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.08 0.00 25.96 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.30 0.33 26.30 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.30 0.00 26.30 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.24 26.54 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.07 0.00 26.54 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.19 26.72 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.11 0.00 26.72 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 26.92 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.09 0.00 26.92 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 27.09 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.07 0.00 27.09 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.24 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.06 0.00 27.24 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 27.40 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.08 0.00 27.40 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.56 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.07 0.00 27.56 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.72 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.07 0.00 27.72 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.87 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.06 0.00 27.87 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.01 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.06 0.00 28.01 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.16 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.06 0.00 28.16 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.31 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.06 0.00 28.31 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.46 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.06 0.00 28.46 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.61 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.06 0.00 28.61 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 28.77 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.08 0.00 28.77 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.16 28.93 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.06 0.00 28.93 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.09 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.08 0.00 29.09 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.26 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.08 0.00 29.26 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.43 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.08 0.00 29.43 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 29.61 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.09 0.00 29.61 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 29.78 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.07 0.00 29.78 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.94 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.08 0.00 29.94 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 30.18 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.17 0.00 30.18 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 30.41 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.10 0.00 30.41 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 30.59 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.08 0.00 30.59 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 30.74 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.06 0.00 30.74 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 30.89 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.07 0.00 30.89 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 31.04 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.07 0.00 31.04 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 31.20 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.08 0.00 31.20 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 31.44 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.17 0.00 31.44 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 31.67 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.10 0.00 31.67 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 31.84 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.07 0.00 31.84 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 32.01 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.08 0.00 32.01 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.18 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.09 0.00 32.18 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.37 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.09 0.00 32.37 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 32.54 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.08 0.00 32.54 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.72 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.09 0.00 32.72 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 32.88 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.07 0.00 32.88 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.22 33.10 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.16 0.00 33.11 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.34 33.45 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.26 0.00 33.45 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 33.88 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.25 0.01 33.88 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.44 34.33 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.28 0.00 34.33 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 34.76 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.25 0.00 34.76 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.35 0.49 35.25 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.35 0.01 35.26 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.47 35.73 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.27 0.00 35.74 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.45 36.19 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.28 0.01 36.19 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.26 0.44 36.63 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.26 0.00 36.64 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.72 0.75 37.39 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.72 0.03 37.42 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.09 0.46 37.88 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.09 0.00 37.88 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.20 38.08 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.12 0.00 38.08 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.20 38.28 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.10 0.00 38.28 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 38.46 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.08 0.00 38.46 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 38.62 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.07 0.00 38.62 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 38.77 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.07 0.00 38.77 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 38.92 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.06 0.00 38.92 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.08 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.07 0.00 39.08 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 39.24 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.08 0.00 39.24 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.41 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.08 0.00 39.41 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.57 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.08 0.00 39.57 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 39.73 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.07 0.00 39.73 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.88 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.07 0.00 39.88 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 40.05 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.09 0.00 40.05 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.22 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.08 0.00 40.22 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.39 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.08 0.00 40.39 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.55 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.07 0.00 40.55 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 40.70 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.07 0.00 40.70 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.86 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.07 0.00 40.86 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.24 41.10 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.07 0.00 41.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.86 0.78 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.86 0.01 41.89 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.58 42.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net) + 0.18 0.00 42.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4) + 0.09 0.44 42.91 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN (net) + 0.09 0.00 42.91 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.31 43.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN_buf (net) + 0.20 0.00 43.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/D (sky130_fd_sc_hd__and4b_2) + 0.10 0.53 43.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/X (sky130_fd_sc_hd__and4b_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SEL (net) + 0.10 0.00 43.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.68 0.66 44.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[0].B.SEL (net) + 0.68 0.00 44.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.41 0.65 45.07 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SEL_B (net) + 0.41 0.00 45.07 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[3].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 3.96 3.25 48.33 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[3].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[11] (net) + 3.97 0.04 48.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[11]/D (sky130_fd_sc_hd__dfxtp_1) + 48.36 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 3.27 2.54 32.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.73 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 3.27 0.05 32.84 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[11]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 32.59 clock uncertainty + 1.22 33.81 clock reconvergence pessimism + -0.38 33.43 library setup time + 33.43 data required time +----------------------------------------------------------------------------- + 33.43 data required time + -48.36 data arrival time +----------------------------------------------------------------------------- + -14.93 slack (VIOLATED) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[13] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.30 1.18 11.99 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 1.30 0.03 12.02 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.71 12.72 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.12 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.26 0.00 13.59 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.12 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.12 0.00 14.10 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.14 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.14 0.01 14.45 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.38 0.48 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.50 0.18 15.11 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 2.20 1.84 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 2.22 0.18 17.13 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.53 1.54 18.67 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.56 0.10 18.77 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.12 0.21 18.98 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.12 0.00 18.98 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.18 19.16 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.07 0.00 19.16 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 19.32 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.07 0.00 19.32 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.18 19.50 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.10 0.00 19.50 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 19.68 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.09 0.00 19.68 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.19 19.88 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.10 0.00 19.88 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.17 20.04 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.06 0.00 20.04 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 20.20 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.08 0.00 20.20 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.36 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.07 0.00 20.36 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.52 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.07 0.00 20.52 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 20.69 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.09 0.00 20.69 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 20.87 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.09 0.00 20.87 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.04 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.08 0.00 21.04 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.21 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.08 0.00 21.21 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 21.37 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.07 0.00 21.37 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.22 21.58 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.14 0.00 21.58 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.28 21.86 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.19 0.00 21.86 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.31 22.18 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.21 0.00 22.18 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.33 22.51 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.22 0.00 22.51 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.34 22.85 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.22 0.00 22.85 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.23 0.34 23.19 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.23 0.00 23.19 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.33 23.52 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.21 0.00 23.52 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.36 23.88 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.26 0.00 23.88 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.25 24.12 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.09 0.00 24.13 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.21 24.33 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.12 0.00 24.33 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 24.58 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.15 0.00 24.58 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 24.81 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.13 0.00 24.81 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.22 25.03 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.12 0.00 25.03 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 25.27 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.15 0.00 25.27 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 25.51 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.13 0.00 25.51 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.25 25.76 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.16 0.00 25.76 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.20 25.96 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.08 0.00 25.96 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.30 0.33 26.30 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.30 0.00 26.30 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.24 26.54 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.07 0.00 26.54 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.19 26.72 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.11 0.00 26.72 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 26.92 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.09 0.00 26.92 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 27.09 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.07 0.00 27.09 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.24 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.06 0.00 27.24 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 27.40 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.08 0.00 27.40 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.56 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.07 0.00 27.56 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.72 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.07 0.00 27.72 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.87 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.06 0.00 27.87 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.01 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.06 0.00 28.01 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.16 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.06 0.00 28.16 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.31 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.06 0.00 28.31 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.46 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.06 0.00 28.46 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.61 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.06 0.00 28.61 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 28.77 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.08 0.00 28.77 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.16 28.93 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.06 0.00 28.93 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.09 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.08 0.00 29.09 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.26 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.08 0.00 29.26 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.43 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.08 0.00 29.43 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 29.61 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.09 0.00 29.61 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 29.78 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.07 0.00 29.78 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.94 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.08 0.00 29.94 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 30.18 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.17 0.00 30.18 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 30.41 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.10 0.00 30.41 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 30.59 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.08 0.00 30.59 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 30.74 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.06 0.00 30.74 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 30.89 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.07 0.00 30.89 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 31.04 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.07 0.00 31.04 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 31.20 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.08 0.00 31.20 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 31.44 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.17 0.00 31.44 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 31.67 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.10 0.00 31.67 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 31.84 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.07 0.00 31.84 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 32.01 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.08 0.00 32.01 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.18 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.09 0.00 32.18 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.37 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.09 0.00 32.37 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 32.54 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.08 0.00 32.54 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.72 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.09 0.00 32.72 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 32.88 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.07 0.00 32.88 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.22 33.10 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.16 0.00 33.11 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.34 33.45 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.26 0.00 33.45 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 33.88 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.25 0.01 33.88 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.44 34.33 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.28 0.00 34.33 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 34.76 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.25 0.00 34.76 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.35 0.49 35.25 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.35 0.01 35.26 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.47 35.73 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.27 0.00 35.74 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.45 36.19 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.28 0.01 36.19 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.26 0.44 36.63 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.26 0.00 36.64 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.72 0.75 37.39 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.72 0.03 37.42 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.09 0.46 37.88 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.09 0.00 37.88 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.20 38.08 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.12 0.00 38.08 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.20 38.28 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.10 0.00 38.28 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 38.46 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.08 0.00 38.46 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 38.62 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.07 0.00 38.62 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 38.77 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.07 0.00 38.77 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 38.92 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.06 0.00 38.92 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.08 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.07 0.00 39.08 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 39.24 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.08 0.00 39.24 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.41 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.08 0.00 39.41 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.57 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.08 0.00 39.57 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 39.73 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.07 0.00 39.73 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.88 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.07 0.00 39.88 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 40.05 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.09 0.00 40.05 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.22 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.08 0.00 40.22 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.39 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.08 0.00 40.39 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.55 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.07 0.00 40.55 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 40.70 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.07 0.00 40.70 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.86 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.07 0.00 40.86 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.24 41.10 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.07 0.00 41.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.86 0.78 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.86 0.01 41.89 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.58 42.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net) + 0.18 0.00 42.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4) + 0.09 0.44 42.91 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN (net) + 0.09 0.00 42.91 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.31 43.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN_buf (net) + 0.20 0.00 43.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/D (sky130_fd_sc_hd__and4b_2) + 0.10 0.53 43.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/X (sky130_fd_sc_hd__and4b_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SEL (net) + 0.10 0.00 43.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.68 0.66 44.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[0].B.SEL (net) + 0.68 0.00 44.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.41 0.65 45.07 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SEL_B (net) + 0.41 0.00 45.07 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[5].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 3.95 3.23 48.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[5].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[13] (net) + 3.95 0.06 48.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[13]/D (sky130_fd_sc_hd__dfxtp_1) + 48.36 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 3.27 2.54 32.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.73 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 3.27 0.04 32.84 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[13]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 32.59 clock uncertainty + 1.22 33.81 clock reconvergence pessimism + -0.38 33.43 library setup time + 33.43 data required time +----------------------------------------------------------------------------- + 33.43 data required time + -48.36 data arrival time +----------------------------------------------------------------------------- + -14.93 slack (VIOLATED) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[0] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.30 1.18 11.99 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 1.30 0.03 12.02 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.71 12.72 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.12 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.26 0.00 13.59 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.12 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.12 0.00 14.10 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.14 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.14 0.01 14.45 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.38 0.48 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.50 0.18 15.11 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 2.20 1.84 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 2.22 0.18 17.13 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.53 1.54 18.67 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.56 0.10 18.77 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.12 0.21 18.98 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.12 0.00 18.98 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.18 19.16 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.07 0.00 19.16 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 19.32 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.07 0.00 19.32 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.18 19.50 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.10 0.00 19.50 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 19.68 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.09 0.00 19.68 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.19 19.88 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.10 0.00 19.88 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.17 20.04 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.06 0.00 20.04 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 20.20 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.08 0.00 20.20 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.36 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.07 0.00 20.36 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.52 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.07 0.00 20.52 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 20.69 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.09 0.00 20.69 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 20.87 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.09 0.00 20.87 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.04 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.08 0.00 21.04 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.21 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.08 0.00 21.21 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 21.37 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.07 0.00 21.37 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.22 21.58 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.14 0.00 21.58 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.28 21.86 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.19 0.00 21.86 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.31 22.18 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.21 0.00 22.18 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.33 22.51 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.22 0.00 22.51 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.34 22.85 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.22 0.00 22.85 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.23 0.34 23.19 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.23 0.00 23.19 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.33 23.52 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.21 0.00 23.52 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.36 23.88 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.26 0.00 23.88 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.25 24.12 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.09 0.00 24.13 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.21 24.33 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.12 0.00 24.33 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 24.58 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.15 0.00 24.58 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 24.81 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.13 0.00 24.81 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.22 25.03 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.12 0.00 25.03 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 25.27 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.15 0.00 25.27 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 25.51 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.13 0.00 25.51 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.25 25.76 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.16 0.00 25.76 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.20 25.96 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.08 0.00 25.96 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.30 0.33 26.30 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.30 0.00 26.30 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.24 26.54 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.07 0.00 26.54 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.19 26.72 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.11 0.00 26.72 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 26.92 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.09 0.00 26.92 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 27.09 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.07 0.00 27.09 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.24 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.06 0.00 27.24 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 27.40 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.08 0.00 27.40 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.56 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.07 0.00 27.56 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.72 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.07 0.00 27.72 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.87 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.06 0.00 27.87 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.01 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.06 0.00 28.01 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.16 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.06 0.00 28.16 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.31 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.06 0.00 28.31 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.46 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.06 0.00 28.46 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.61 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.06 0.00 28.61 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 28.77 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.08 0.00 28.77 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.16 28.93 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.06 0.00 28.93 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.09 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.08 0.00 29.09 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.26 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.08 0.00 29.26 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.43 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.08 0.00 29.43 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 29.61 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.09 0.00 29.61 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 29.78 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.07 0.00 29.78 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.94 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.08 0.00 29.94 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 30.18 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.17 0.00 30.18 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 30.41 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.10 0.00 30.41 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 30.59 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.08 0.00 30.59 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 30.74 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.06 0.00 30.74 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 30.89 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.07 0.00 30.89 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 31.04 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.07 0.00 31.04 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 31.20 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.08 0.00 31.20 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 31.44 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.17 0.00 31.44 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 31.67 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.10 0.00 31.67 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 31.84 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.07 0.00 31.84 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 32.01 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.08 0.00 32.01 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.18 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.09 0.00 32.18 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.37 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.09 0.00 32.37 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 32.54 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.08 0.00 32.54 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.72 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.09 0.00 32.72 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 32.88 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.07 0.00 32.88 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.22 33.10 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.16 0.00 33.11 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.34 33.45 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.26 0.00 33.45 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 33.88 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.25 0.01 33.88 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.44 34.33 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.28 0.00 34.33 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 34.76 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.25 0.00 34.76 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.35 0.49 35.25 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.35 0.01 35.26 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.47 35.73 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.27 0.00 35.74 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.45 36.19 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.28 0.01 36.19 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.26 0.44 36.63 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.26 0.00 36.64 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.72 0.75 37.39 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.72 0.03 37.42 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.09 0.46 37.88 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.09 0.00 37.88 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.20 38.08 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.12 0.00 38.08 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.20 38.28 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.10 0.00 38.28 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 38.46 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.08 0.00 38.46 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 38.62 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.07 0.00 38.62 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 38.77 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.07 0.00 38.77 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 38.92 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.06 0.00 38.92 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.08 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.07 0.00 39.08 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 39.24 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.08 0.00 39.24 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.41 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.08 0.00 39.41 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.57 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.08 0.00 39.57 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 39.73 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.07 0.00 39.73 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.88 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.07 0.00 39.88 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 40.05 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.09 0.00 40.05 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.22 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.08 0.00 40.22 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.39 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.08 0.00 40.39 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.55 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.07 0.00 40.55 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 40.70 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.07 0.00 40.70 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.86 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.07 0.00 40.86 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.24 41.10 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.07 0.00 41.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.86 0.78 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.86 0.01 41.89 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.58 42.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net) + 0.18 0.00 42.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4) + 0.09 0.44 42.91 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN (net) + 0.09 0.00 42.91 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.31 43.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN_buf (net) + 0.20 0.00 43.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND5/D (sky130_fd_sc_hd__and4b_2) + 0.11 0.55 43.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND5/X (sky130_fd_sc_hd__and4b_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.SEL (net) + 0.11 0.00 43.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.58 0.60 44.37 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.07 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.SEL (net) + 0.58 0.00 44.37 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.52 0.70 45.07 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 16 0.06 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.SEL_B (net) + 0.52 0.00 45.08 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.BIT[0].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 3.96 3.28 48.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.BIT[0].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[0] (net) + 3.97 0.02 48.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[0]/D (sky130_fd_sc_hd__dfxtp_1) + 48.38 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 3.27 2.54 32.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.73 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 3.27 0.07 32.86 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[0]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 32.61 clock uncertainty + 1.22 33.83 clock reconvergence pessimism + -0.38 33.45 library setup time + 33.45 data required time +----------------------------------------------------------------------------- + 33.45 data required time + -48.38 data arrival time +----------------------------------------------------------------------------- + -14.93 slack (VIOLATED) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[30] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.30 1.18 11.99 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 1.30 0.03 12.02 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.71 12.72 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.12 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.26 0.00 13.59 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.12 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.12 0.00 14.10 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.14 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.14 0.01 14.45 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.38 0.48 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.50 0.18 15.11 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 2.20 1.84 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 2.22 0.18 17.13 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.53 1.54 18.67 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.56 0.10 18.77 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.12 0.21 18.98 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.12 0.00 18.98 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.18 19.16 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.07 0.00 19.16 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 19.32 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.07 0.00 19.32 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.18 19.50 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.10 0.00 19.50 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 19.68 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.09 0.00 19.68 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.19 19.88 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.10 0.00 19.88 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.17 20.04 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.06 0.00 20.04 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 20.20 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.08 0.00 20.20 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.36 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.07 0.00 20.36 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.52 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.07 0.00 20.52 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 20.69 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.09 0.00 20.69 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 20.87 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.09 0.00 20.87 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.04 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.08 0.00 21.04 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.21 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.08 0.00 21.21 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 21.37 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.07 0.00 21.37 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.22 21.58 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.14 0.00 21.58 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.28 21.86 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.19 0.00 21.86 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.31 22.18 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.21 0.00 22.18 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.33 22.51 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.22 0.00 22.51 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.34 22.85 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.22 0.00 22.85 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.23 0.34 23.19 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.23 0.00 23.19 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.33 23.52 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.21 0.00 23.52 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.36 23.88 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.26 0.00 23.88 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.25 24.12 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.09 0.00 24.13 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.21 24.33 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.12 0.00 24.33 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 24.58 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.15 0.00 24.58 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 24.81 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.13 0.00 24.81 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.22 25.03 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.12 0.00 25.03 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 25.27 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.15 0.00 25.27 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 25.51 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.13 0.00 25.51 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.25 25.76 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.16 0.00 25.76 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.20 25.96 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.08 0.00 25.96 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.30 0.33 26.30 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.30 0.00 26.30 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.24 26.54 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.07 0.00 26.54 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.19 26.72 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.11 0.00 26.72 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 26.92 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.09 0.00 26.92 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 27.09 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.07 0.00 27.09 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.24 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.06 0.00 27.24 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 27.40 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.08 0.00 27.40 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.56 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.07 0.00 27.56 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.72 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.07 0.00 27.72 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.87 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.06 0.00 27.87 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.01 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.06 0.00 28.01 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.16 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.06 0.00 28.16 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.31 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.06 0.00 28.31 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.46 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.06 0.00 28.46 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.61 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.06 0.00 28.61 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 28.77 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.08 0.00 28.77 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.16 28.93 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.06 0.00 28.93 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.09 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.08 0.00 29.09 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.26 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.08 0.00 29.26 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.43 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.08 0.00 29.43 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 29.61 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.09 0.00 29.61 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 29.78 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.07 0.00 29.78 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.94 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.08 0.00 29.94 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 30.18 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.17 0.00 30.18 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 30.41 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.10 0.00 30.41 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 30.59 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.08 0.00 30.59 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 30.74 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.06 0.00 30.74 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 30.89 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.07 0.00 30.89 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 31.04 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.07 0.00 31.04 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 31.20 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.08 0.00 31.20 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 31.44 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.17 0.00 31.44 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 31.67 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.10 0.00 31.67 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 31.84 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.07 0.00 31.84 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 32.01 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.08 0.00 32.01 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.18 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.09 0.00 32.18 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.37 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.09 0.00 32.37 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 32.54 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.08 0.00 32.54 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.72 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.09 0.00 32.72 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 32.88 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.07 0.00 32.88 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.22 33.10 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.16 0.00 33.11 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.34 33.45 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.26 0.00 33.45 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 33.88 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.25 0.01 33.88 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.44 34.33 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.28 0.00 34.33 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 34.76 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.25 0.00 34.76 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.35 0.49 35.25 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.35 0.01 35.26 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.47 35.73 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.27 0.00 35.74 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.45 36.19 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.28 0.01 36.19 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.26 0.44 36.63 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.26 0.00 36.64 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.72 0.75 37.39 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.72 0.03 37.42 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.09 0.46 37.88 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.09 0.00 37.88 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.20 38.08 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.12 0.00 38.08 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.20 38.28 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.10 0.00 38.28 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 38.46 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.08 0.00 38.46 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 38.62 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.07 0.00 38.62 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 38.77 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.07 0.00 38.77 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 38.92 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.06 0.00 38.92 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.08 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.07 0.00 39.08 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 39.24 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.08 0.00 39.24 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.41 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.08 0.00 39.41 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.57 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.08 0.00 39.57 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 39.73 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.07 0.00 39.73 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.88 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.07 0.00 39.88 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 40.05 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.09 0.00 40.05 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.22 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.08 0.00 40.22 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.39 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.08 0.00 40.39 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.55 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.07 0.00 40.55 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 40.70 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.07 0.00 40.70 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.86 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.07 0.00 40.86 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.24 41.10 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.07 0.00 41.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.86 0.78 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.87 0.02 41.90 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.58 42.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.A_buf[3] (net) + 0.18 0.00 42.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4) + 0.10 0.46 42.93 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4) + 1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.DEC.EN (net) + 0.10 0.00 42.93 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.30 43.23 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.DEC.EN_buf (net) + 0.18 0.00 43.23 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.DEC.AND5/D (sky130_fd_sc_hd__and4b_2) + 0.12 0.57 43.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.DEC.AND5/X (sky130_fd_sc_hd__and4b_2) + 1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.SEL (net) + 0.12 0.00 43.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.77 0.74 44.54 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.09 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.SEL (net) + 0.77 0.00 44.55 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[3].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.43 0.70 45.25 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[3].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.05 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[3].B.SEL_B (net) + 0.43 0.00 45.25 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[3].B.BIT[6].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 3.80 3.14 48.39 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[3].B.BIT[6].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.20 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_pre[30] (net) + 3.81 0.03 48.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[30]/D (sky130_fd_sc_hd__dfxtp_1) + 48.42 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 3.27 2.54 32.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.73 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 3.27 0.08 32.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[30]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 32.63 clock uncertainty + 1.22 33.85 clock reconvergence pessimism + -0.37 33.49 library setup time + 33.49 data required time +----------------------------------------------------------------------------- + 33.49 data required time + -48.42 data arrival time +----------------------------------------------------------------------------- + -14.93 slack (VIOLATED) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[9] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.30 1.18 11.99 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 1.30 0.03 12.02 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.71 12.72 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.12 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.26 0.00 13.59 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.12 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.12 0.00 14.10 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.14 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.14 0.01 14.45 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.38 0.48 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.50 0.18 15.11 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 2.20 1.84 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 2.22 0.18 17.13 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.53 1.54 18.67 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.56 0.10 18.77 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.12 0.21 18.98 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.12 0.00 18.98 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.18 19.16 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.07 0.00 19.16 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 19.32 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.07 0.00 19.32 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.18 19.50 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.10 0.00 19.50 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 19.68 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.09 0.00 19.68 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.19 19.88 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.10 0.00 19.88 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.17 20.04 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.06 0.00 20.04 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 20.20 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.08 0.00 20.20 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.36 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.07 0.00 20.36 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.52 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.07 0.00 20.52 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 20.69 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.09 0.00 20.69 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 20.87 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.09 0.00 20.87 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.04 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.08 0.00 21.04 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.21 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.08 0.00 21.21 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 21.37 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.07 0.00 21.37 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.22 21.58 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.14 0.00 21.58 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.28 21.86 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.19 0.00 21.86 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.31 22.18 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.21 0.00 22.18 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.33 22.51 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.22 0.00 22.51 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.34 22.85 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.22 0.00 22.85 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.23 0.34 23.19 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.23 0.00 23.19 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.33 23.52 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.21 0.00 23.52 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.36 23.88 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.26 0.00 23.88 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.25 24.12 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.09 0.00 24.13 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.21 24.33 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.12 0.00 24.33 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 24.58 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.15 0.00 24.58 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 24.81 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.13 0.00 24.81 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.22 25.03 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.12 0.00 25.03 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 25.27 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.15 0.00 25.27 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 25.51 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.13 0.00 25.51 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.25 25.76 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.16 0.00 25.76 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.20 25.96 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.08 0.00 25.96 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.30 0.33 26.30 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.30 0.00 26.30 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.24 26.54 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.07 0.00 26.54 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.19 26.72 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.11 0.00 26.72 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 26.92 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.09 0.00 26.92 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 27.09 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.07 0.00 27.09 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.24 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.06 0.00 27.24 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 27.40 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.08 0.00 27.40 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.56 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.07 0.00 27.56 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.72 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.07 0.00 27.72 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.87 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.06 0.00 27.87 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.01 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.06 0.00 28.01 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.16 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.06 0.00 28.16 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.31 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.06 0.00 28.31 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.46 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.06 0.00 28.46 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.61 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.06 0.00 28.61 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 28.77 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.08 0.00 28.77 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.16 28.93 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.06 0.00 28.93 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.09 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.08 0.00 29.09 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.26 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.08 0.00 29.26 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.43 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.08 0.00 29.43 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 29.61 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.09 0.00 29.61 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 29.78 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.07 0.00 29.78 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.94 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.08 0.00 29.94 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 30.18 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.17 0.00 30.18 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 30.41 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.10 0.00 30.41 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 30.59 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.08 0.00 30.59 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 30.74 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.06 0.00 30.74 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 30.89 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.07 0.00 30.89 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 31.04 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.07 0.00 31.04 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 31.20 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.08 0.00 31.20 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 31.44 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.17 0.00 31.44 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 31.67 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.10 0.00 31.67 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 31.84 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.07 0.00 31.84 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 32.01 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.08 0.00 32.01 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.18 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.09 0.00 32.18 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.37 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.09 0.00 32.37 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 32.54 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.08 0.00 32.54 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.72 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.09 0.00 32.72 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 32.88 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.07 0.00 32.88 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.22 33.10 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.16 0.00 33.11 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.34 33.45 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.26 0.00 33.45 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 33.88 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.25 0.01 33.88 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.44 34.33 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.28 0.00 34.33 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 34.76 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.25 0.00 34.76 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.35 0.49 35.25 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.35 0.01 35.26 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.47 35.73 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.27 0.00 35.74 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.45 36.19 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.28 0.01 36.19 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.26 0.44 36.63 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.26 0.00 36.64 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.72 0.75 37.39 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.72 0.03 37.42 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.09 0.46 37.88 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.09 0.00 37.88 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.20 38.08 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.12 0.00 38.08 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.20 38.28 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.10 0.00 38.28 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 38.46 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.08 0.00 38.46 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 38.62 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.07 0.00 38.62 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 38.77 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.07 0.00 38.77 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 38.92 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.06 0.00 38.92 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.08 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.07 0.00 39.08 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 39.24 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.08 0.00 39.24 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.41 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.08 0.00 39.41 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.57 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.08 0.00 39.57 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 39.73 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.07 0.00 39.73 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.88 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.07 0.00 39.88 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 40.05 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.09 0.00 40.05 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.22 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.08 0.00 40.22 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.39 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.08 0.00 40.39 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.55 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.07 0.00 40.55 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 40.70 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.07 0.00 40.70 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.86 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.07 0.00 40.86 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.24 41.10 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.07 0.00 41.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.86 0.78 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.86 0.01 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.58 42.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.A_buf[3] (net) + 0.18 0.00 42.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4) + 0.12 0.49 42.96 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4) + 1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN (net) + 0.12 0.00 42.96 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.19 0.31 43.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN_buf (net) + 0.19 0.00 43.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/D (sky130_fd_sc_hd__and4bb_2) + 0.10 0.53 43.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/X (sky130_fd_sc_hd__and4bb_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SEL (net) + 0.10 0.00 43.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.65 0.64 44.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[0].B.SEL (net) + 0.65 0.01 44.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.41 0.63 45.08 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SEL_B (net) + 0.41 0.00 45.08 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[1].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 3.90 3.21 48.29 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[1].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_pre[9] (net) + 3.92 0.05 48.34 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[9]/D (sky130_fd_sc_hd__dfxtp_1) + 48.34 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 3.27 2.54 32.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.73 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 3.27 0.03 32.82 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[9]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 32.57 clock uncertainty + 1.22 33.79 clock reconvergence pessimism + -0.38 33.42 library setup time + 33.42 data required time +----------------------------------------------------------------------------- + 33.42 data required time + -48.34 data arrival time +----------------------------------------------------------------------------- + -14.93 slack (VIOLATED) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[8] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.30 1.18 11.99 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 1.30 0.03 12.02 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.71 12.72 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.12 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.26 0.00 13.59 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.12 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.12 0.00 14.10 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.14 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.14 0.01 14.45 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.38 0.48 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.50 0.18 15.11 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 2.20 1.84 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 2.22 0.18 17.13 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.53 1.54 18.67 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.56 0.10 18.77 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.12 0.21 18.98 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.12 0.00 18.98 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.18 19.16 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.07 0.00 19.16 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 19.32 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.07 0.00 19.32 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.18 19.50 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.10 0.00 19.50 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 19.68 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.09 0.00 19.68 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.19 19.88 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.10 0.00 19.88 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.17 20.04 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.06 0.00 20.04 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 20.20 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.08 0.00 20.20 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.36 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.07 0.00 20.36 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.52 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.07 0.00 20.52 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 20.69 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.09 0.00 20.69 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 20.87 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.09 0.00 20.87 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.04 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.08 0.00 21.04 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.21 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.08 0.00 21.21 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 21.37 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.07 0.00 21.37 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.22 21.58 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.14 0.00 21.58 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.28 21.86 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.19 0.00 21.86 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.31 22.18 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.21 0.00 22.18 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.33 22.51 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.22 0.00 22.51 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.34 22.85 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.22 0.00 22.85 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.23 0.34 23.19 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.23 0.00 23.19 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.33 23.52 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.21 0.00 23.52 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.36 23.88 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.26 0.00 23.88 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.25 24.12 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.09 0.00 24.13 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.21 24.33 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.12 0.00 24.33 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 24.58 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.15 0.00 24.58 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 24.81 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.13 0.00 24.81 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.22 25.03 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.12 0.00 25.03 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 25.27 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.15 0.00 25.27 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 25.51 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.13 0.00 25.51 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.25 25.76 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.16 0.00 25.76 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.20 25.96 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.08 0.00 25.96 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.30 0.33 26.30 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.30 0.00 26.30 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.24 26.54 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.07 0.00 26.54 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.19 26.72 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.11 0.00 26.72 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 26.92 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.09 0.00 26.92 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 27.09 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.07 0.00 27.09 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.24 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.06 0.00 27.24 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 27.40 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.08 0.00 27.40 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.56 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.07 0.00 27.56 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.72 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.07 0.00 27.72 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.87 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.06 0.00 27.87 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.01 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.06 0.00 28.01 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.16 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.06 0.00 28.16 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.31 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.06 0.00 28.31 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.46 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.06 0.00 28.46 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.61 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.06 0.00 28.61 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 28.77 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.08 0.00 28.77 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.16 28.93 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.06 0.00 28.93 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.09 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.08 0.00 29.09 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.26 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.08 0.00 29.26 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.43 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.08 0.00 29.43 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 29.61 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.09 0.00 29.61 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 29.78 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.07 0.00 29.78 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.94 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.08 0.00 29.94 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 30.18 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.17 0.00 30.18 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 30.41 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.10 0.00 30.41 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 30.59 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.08 0.00 30.59 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 30.74 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.06 0.00 30.74 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 30.89 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.07 0.00 30.89 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 31.04 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.07 0.00 31.04 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 31.20 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.08 0.00 31.20 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 31.44 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.17 0.00 31.44 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 31.67 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.10 0.00 31.67 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 31.84 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.07 0.00 31.84 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 32.01 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.08 0.00 32.01 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.18 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.09 0.00 32.18 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.37 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.09 0.00 32.37 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 32.54 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.08 0.00 32.54 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.72 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.09 0.00 32.72 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 32.88 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.07 0.00 32.88 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.22 33.10 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.16 0.00 33.11 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.34 33.45 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.26 0.00 33.45 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 33.88 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.25 0.01 33.88 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.44 34.33 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.28 0.00 34.33 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 34.76 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.25 0.00 34.76 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.35 0.49 35.25 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.35 0.01 35.26 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.47 35.73 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.27 0.00 35.74 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.45 36.19 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.28 0.01 36.19 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.26 0.44 36.63 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.26 0.00 36.64 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.72 0.75 37.39 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.72 0.03 37.42 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.09 0.46 37.88 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.09 0.00 37.88 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.20 38.08 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.12 0.00 38.08 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.20 38.28 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.10 0.00 38.28 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 38.46 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.08 0.00 38.46 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 38.62 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.07 0.00 38.62 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 38.77 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.07 0.00 38.77 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 38.92 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.06 0.00 38.92 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.08 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.07 0.00 39.08 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 39.24 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.08 0.00 39.24 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.41 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.08 0.00 39.41 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.57 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.08 0.00 39.57 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 39.73 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.07 0.00 39.73 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.88 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.07 0.00 39.88 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 40.05 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.09 0.00 40.05 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.22 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.08 0.00 40.22 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.39 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.08 0.00 40.39 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.55 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.07 0.00 40.55 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 40.70 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.07 0.00 40.70 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.86 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.07 0.00 40.86 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.24 41.10 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.07 0.00 41.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.86 0.78 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.86 0.01 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.58 42.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.A_buf[3] (net) + 0.18 0.00 42.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4) + 0.12 0.49 42.96 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4) + 1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN (net) + 0.12 0.00 42.96 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.19 0.31 43.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN_buf (net) + 0.19 0.00 43.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/D (sky130_fd_sc_hd__and4bb_2) + 0.10 0.53 43.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/X (sky130_fd_sc_hd__and4bb_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SEL (net) + 0.10 0.00 43.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.65 0.64 44.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[0].B.SEL (net) + 0.65 0.01 44.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.41 0.63 45.08 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SEL_B (net) + 0.41 0.00 45.08 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[0].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 3.93 3.22 48.30 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[0].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_pre[8] (net) + 3.94 0.06 48.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[8]/D (sky130_fd_sc_hd__dfxtp_1) + 48.36 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 3.27 2.54 32.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.73 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 3.27 0.05 32.85 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[8]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 32.60 clock uncertainty + 1.22 33.82 clock reconvergence pessimism + -0.38 33.44 library setup time + 33.44 data required time +----------------------------------------------------------------------------- + 33.44 data required time + -48.36 data arrival time +----------------------------------------------------------------------------- + -14.92 slack (VIOLATED) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[15] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.30 1.18 11.99 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 1.30 0.03 12.02 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.71 12.72 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.12 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.26 0.00 13.59 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.12 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.12 0.00 14.10 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.14 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.14 0.01 14.45 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.38 0.48 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.50 0.18 15.11 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 2.20 1.84 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 2.22 0.18 17.13 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.53 1.54 18.67 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.56 0.10 18.77 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.12 0.21 18.98 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.12 0.00 18.98 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.18 19.16 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.07 0.00 19.16 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 19.32 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.07 0.00 19.32 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.18 19.50 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.10 0.00 19.50 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 19.68 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.09 0.00 19.68 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.19 19.88 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.10 0.00 19.88 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.17 20.04 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.06 0.00 20.04 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 20.20 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.08 0.00 20.20 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.36 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.07 0.00 20.36 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.52 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.07 0.00 20.52 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 20.69 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.09 0.00 20.69 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 20.87 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.09 0.00 20.87 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.04 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.08 0.00 21.04 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.21 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.08 0.00 21.21 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 21.37 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.07 0.00 21.37 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.22 21.58 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.14 0.00 21.58 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.28 21.86 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.19 0.00 21.86 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.31 22.18 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.21 0.00 22.18 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.33 22.51 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.22 0.00 22.51 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.34 22.85 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.22 0.00 22.85 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.23 0.34 23.19 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.23 0.00 23.19 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.33 23.52 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.21 0.00 23.52 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.36 23.88 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.26 0.00 23.88 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.25 24.12 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.09 0.00 24.13 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.21 24.33 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.12 0.00 24.33 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 24.58 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.15 0.00 24.58 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 24.81 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.13 0.00 24.81 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.22 25.03 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.12 0.00 25.03 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 25.27 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.15 0.00 25.27 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 25.51 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.13 0.00 25.51 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.25 25.76 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.16 0.00 25.76 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.20 25.96 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.08 0.00 25.96 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.30 0.33 26.30 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.30 0.00 26.30 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.24 26.54 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.07 0.00 26.54 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.19 26.72 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.11 0.00 26.72 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 26.92 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.09 0.00 26.92 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 27.09 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.07 0.00 27.09 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.24 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.06 0.00 27.24 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 27.40 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.08 0.00 27.40 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.56 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.07 0.00 27.56 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.72 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.07 0.00 27.72 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.87 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.06 0.00 27.87 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.01 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.06 0.00 28.01 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.16 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.06 0.00 28.16 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.31 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.06 0.00 28.31 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.46 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.06 0.00 28.46 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.61 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.06 0.00 28.61 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 28.77 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.08 0.00 28.77 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.16 28.93 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.06 0.00 28.93 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.09 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.08 0.00 29.09 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.26 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.08 0.00 29.26 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.43 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.08 0.00 29.43 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 29.61 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.09 0.00 29.61 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 29.78 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.07 0.00 29.78 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.94 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.08 0.00 29.94 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 30.18 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.17 0.00 30.18 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 30.41 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.10 0.00 30.41 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 30.59 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.08 0.00 30.59 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 30.74 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.06 0.00 30.74 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 30.89 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.07 0.00 30.89 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 31.04 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.07 0.00 31.04 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 31.20 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.08 0.00 31.20 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 31.44 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.17 0.00 31.44 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 31.67 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.10 0.00 31.67 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 31.84 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.07 0.00 31.84 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 32.01 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.08 0.00 32.01 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.18 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.09 0.00 32.18 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.37 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.09 0.00 32.37 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 32.54 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.08 0.00 32.54 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.72 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.09 0.00 32.72 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 32.88 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.07 0.00 32.88 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.22 33.10 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.16 0.00 33.11 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.34 33.45 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.26 0.00 33.45 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 33.88 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.25 0.01 33.88 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.44 34.33 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.28 0.00 34.33 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 34.76 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.25 0.00 34.76 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.35 0.49 35.25 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.35 0.01 35.26 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.47 35.73 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.27 0.00 35.74 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.45 36.19 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.28 0.01 36.19 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.26 0.44 36.63 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.26 0.00 36.64 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.72 0.75 37.39 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.72 0.03 37.42 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.09 0.46 37.88 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.09 0.00 37.88 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.20 38.08 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.12 0.00 38.08 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.20 38.28 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.10 0.00 38.28 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 38.46 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.08 0.00 38.46 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 38.62 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.07 0.00 38.62 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 38.77 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.07 0.00 38.77 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 38.92 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.06 0.00 38.92 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.08 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.07 0.00 39.08 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 39.24 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.08 0.00 39.24 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.41 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.08 0.00 39.41 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.57 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.08 0.00 39.57 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 39.73 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.07 0.00 39.73 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.88 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.07 0.00 39.88 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 40.05 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.09 0.00 40.05 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.22 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.08 0.00 40.22 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.39 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.08 0.00 40.39 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.55 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.07 0.00 40.55 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 40.70 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.07 0.00 40.70 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.86 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.07 0.00 40.86 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.24 41.10 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.07 0.00 41.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.86 0.78 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.86 0.00 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.58 42.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net) + 0.18 0.00 42.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4) + 0.09 0.46 42.92 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net) + 0.09 0.00 42.92 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.28 43.20 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net) + 0.16 0.00 43.20 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND7/D (sky130_fd_sc_hd__and4_2) + 0.11 0.53 43.73 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND7/X (sky130_fd_sc_hd__and4_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SEL (net) + 0.11 0.00 43.73 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.85 0.79 44.52 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.SEL (net) + 0.85 0.01 44.53 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.41 0.67 45.20 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.SEL_B (net) + 0.41 0.00 45.20 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.BIT[7].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 3.83 3.15 48.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.BIT[7].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.20 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[15] (net) + 3.84 0.05 48.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[15]/D (sky130_fd_sc_hd__dfxtp_1) + 48.41 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 3.27 2.54 32.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.73 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 3.27 0.09 32.89 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[15]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 32.64 clock uncertainty + 1.22 33.86 clock reconvergence pessimism + -0.37 33.49 library setup time + 33.49 data required time +----------------------------------------------------------------------------- + 33.49 data required time + -48.41 data arrival time +----------------------------------------------------------------------------- + -14.92 slack (VIOLATED) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[14] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.30 1.18 11.99 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 1.30 0.03 12.02 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.71 12.72 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.12 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.26 0.00 13.59 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.12 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.12 0.00 14.10 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.14 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.14 0.01 14.45 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.38 0.48 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.50 0.18 15.11 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 2.20 1.84 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 2.22 0.18 17.13 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.53 1.54 18.67 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.56 0.10 18.77 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.12 0.21 18.98 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.12 0.00 18.98 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.18 19.16 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.07 0.00 19.16 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 19.32 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.07 0.00 19.32 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.18 19.50 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.10 0.00 19.50 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 19.68 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.09 0.00 19.68 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.19 19.88 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.10 0.00 19.88 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.17 20.04 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.06 0.00 20.04 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 20.20 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.08 0.00 20.20 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.36 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.07 0.00 20.36 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.52 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.07 0.00 20.52 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 20.69 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.09 0.00 20.69 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 20.87 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.09 0.00 20.87 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.04 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.08 0.00 21.04 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.21 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.08 0.00 21.21 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 21.37 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.07 0.00 21.37 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.22 21.58 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.14 0.00 21.58 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.28 21.86 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.19 0.00 21.86 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.31 22.18 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.21 0.00 22.18 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.33 22.51 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.22 0.00 22.51 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.34 22.85 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.22 0.00 22.85 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.23 0.34 23.19 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.23 0.00 23.19 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.33 23.52 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.21 0.00 23.52 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.36 23.88 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.26 0.00 23.88 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.25 24.12 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.09 0.00 24.13 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.21 24.33 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.12 0.00 24.33 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 24.58 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.15 0.00 24.58 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 24.81 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.13 0.00 24.81 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.22 25.03 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.12 0.00 25.03 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 25.27 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.15 0.00 25.27 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 25.51 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.13 0.00 25.51 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.25 25.76 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.16 0.00 25.76 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.20 25.96 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.08 0.00 25.96 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.30 0.33 26.30 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.30 0.00 26.30 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.24 26.54 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.07 0.00 26.54 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.19 26.72 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.11 0.00 26.72 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 26.92 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.09 0.00 26.92 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 27.09 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.07 0.00 27.09 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.24 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.06 0.00 27.24 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 27.40 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.08 0.00 27.40 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.56 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.07 0.00 27.56 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.72 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.07 0.00 27.72 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.87 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.06 0.00 27.87 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.01 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.06 0.00 28.01 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.16 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.06 0.00 28.16 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.31 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.06 0.00 28.31 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.46 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.06 0.00 28.46 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.61 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.06 0.00 28.61 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 28.77 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.08 0.00 28.77 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.16 28.93 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.06 0.00 28.93 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.09 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.08 0.00 29.09 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.26 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.08 0.00 29.26 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.43 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.08 0.00 29.43 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 29.61 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.09 0.00 29.61 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 29.78 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.07 0.00 29.78 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.94 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.08 0.00 29.94 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 30.18 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.17 0.00 30.18 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 30.41 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.10 0.00 30.41 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 30.59 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.08 0.00 30.59 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 30.74 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.06 0.00 30.74 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 30.89 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.07 0.00 30.89 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 31.04 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.07 0.00 31.04 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 31.20 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.08 0.00 31.20 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 31.44 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.17 0.00 31.44 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 31.67 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.10 0.00 31.67 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 31.84 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.07 0.00 31.84 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 32.01 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.08 0.00 32.01 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.18 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.09 0.00 32.18 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.37 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.09 0.00 32.37 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 32.54 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.08 0.00 32.54 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.72 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.09 0.00 32.72 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 32.88 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.07 0.00 32.88 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.22 33.10 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.16 0.00 33.11 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.34 33.45 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.26 0.00 33.45 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 33.88 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.25 0.01 33.88 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.44 34.33 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.28 0.00 34.33 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 34.76 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.25 0.00 34.76 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.35 0.49 35.25 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.35 0.01 35.26 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.47 35.73 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.27 0.00 35.74 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.45 36.19 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.28 0.01 36.19 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.26 0.44 36.63 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.26 0.00 36.64 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.72 0.75 37.39 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.72 0.03 37.42 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.09 0.46 37.88 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.09 0.00 37.88 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.20 38.08 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.12 0.00 38.08 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.20 38.28 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.10 0.00 38.28 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 38.46 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.08 0.00 38.46 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 38.62 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.07 0.00 38.62 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 38.77 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.07 0.00 38.77 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 38.92 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.06 0.00 38.92 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.08 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.07 0.00 39.08 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 39.24 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.08 0.00 39.24 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.41 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.08 0.00 39.41 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.57 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.08 0.00 39.57 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 39.73 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.07 0.00 39.73 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.88 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.07 0.00 39.88 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 40.05 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.09 0.00 40.05 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.22 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.08 0.00 40.22 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.39 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.08 0.00 40.39 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.55 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.07 0.00 40.55 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 40.70 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.07 0.00 40.70 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.86 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.07 0.00 40.86 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.24 41.10 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.07 0.00 41.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.86 0.78 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.86 0.00 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.58 42.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net) + 0.18 0.00 42.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4) + 0.09 0.46 42.92 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net) + 0.09 0.00 42.92 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.28 43.20 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net) + 0.16 0.00 43.20 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND7/D (sky130_fd_sc_hd__and4_2) + 0.11 0.53 43.73 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND7/X (sky130_fd_sc_hd__and4_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SEL (net) + 0.11 0.00 43.73 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.85 0.79 44.52 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.SEL (net) + 0.85 0.01 44.53 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.41 0.67 45.20 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.SEL_B (net) + 0.41 0.00 45.20 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.BIT[6].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 3.84 3.17 48.37 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.BIT[6].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.20 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[14] (net) + 3.85 0.04 48.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[14]/D (sky130_fd_sc_hd__dfxtp_1) + 48.41 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 3.27 2.54 32.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.73 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 3.27 0.10 32.89 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[14]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 32.64 clock uncertainty + 1.22 33.87 clock reconvergence pessimism + -0.37 33.50 library setup time + 33.50 data required time +----------------------------------------------------------------------------- + 33.50 data required time + -48.41 data arrival time +----------------------------------------------------------------------------- + -14.91 slack (VIOLATED) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[6] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.30 1.18 11.99 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 1.30 0.03 12.02 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.71 12.72 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.12 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.26 0.00 13.59 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.12 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.12 0.00 14.10 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.14 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.14 0.01 14.45 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.38 0.48 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.50 0.18 15.11 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 2.20 1.84 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 2.22 0.18 17.13 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.53 1.54 18.67 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.56 0.10 18.77 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.12 0.21 18.98 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.12 0.00 18.98 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.18 19.16 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.07 0.00 19.16 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 19.32 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.07 0.00 19.32 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.18 19.50 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.10 0.00 19.50 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 19.68 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.09 0.00 19.68 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.19 19.88 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.10 0.00 19.88 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.17 20.04 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.06 0.00 20.04 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 20.20 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.08 0.00 20.20 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.36 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.07 0.00 20.36 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.52 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.07 0.00 20.52 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 20.69 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.09 0.00 20.69 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 20.87 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.09 0.00 20.87 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.04 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.08 0.00 21.04 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.21 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.08 0.00 21.21 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 21.37 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.07 0.00 21.37 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.22 21.58 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.14 0.00 21.58 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.28 21.86 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.19 0.00 21.86 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.31 22.18 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.21 0.00 22.18 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.33 22.51 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.22 0.00 22.51 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.34 22.85 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.22 0.00 22.85 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.23 0.34 23.19 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.23 0.00 23.19 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.33 23.52 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.21 0.00 23.52 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.36 23.88 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.26 0.00 23.88 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.25 24.12 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.09 0.00 24.13 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.21 24.33 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.12 0.00 24.33 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 24.58 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.15 0.00 24.58 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 24.81 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.13 0.00 24.81 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.22 25.03 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.12 0.00 25.03 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 25.27 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.15 0.00 25.27 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 25.51 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.13 0.00 25.51 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.25 25.76 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.16 0.00 25.76 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.20 25.96 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.08 0.00 25.96 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.30 0.33 26.30 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.30 0.00 26.30 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.24 26.54 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.07 0.00 26.54 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.19 26.72 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.11 0.00 26.72 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 26.92 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.09 0.00 26.92 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 27.09 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.07 0.00 27.09 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.24 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.06 0.00 27.24 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 27.40 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.08 0.00 27.40 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.56 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.07 0.00 27.56 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.72 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.07 0.00 27.72 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.87 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.06 0.00 27.87 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.01 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.06 0.00 28.01 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.16 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.06 0.00 28.16 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.31 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.06 0.00 28.31 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.46 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.06 0.00 28.46 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.61 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.06 0.00 28.61 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 28.77 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.08 0.00 28.77 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.16 28.93 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.06 0.00 28.93 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.09 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.08 0.00 29.09 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.26 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.08 0.00 29.26 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.43 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.08 0.00 29.43 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 29.61 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.09 0.00 29.61 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 29.78 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.07 0.00 29.78 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.94 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.08 0.00 29.94 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 30.18 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.17 0.00 30.18 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 30.41 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.10 0.00 30.41 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 30.59 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.08 0.00 30.59 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 30.74 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.06 0.00 30.74 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 30.89 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.07 0.00 30.89 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 31.04 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.07 0.00 31.04 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 31.20 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.08 0.00 31.20 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 31.44 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.17 0.00 31.44 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 31.67 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.10 0.00 31.67 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 31.84 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.07 0.00 31.84 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 32.01 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.08 0.00 32.01 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.18 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.09 0.00 32.18 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.37 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.09 0.00 32.37 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 32.54 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.08 0.00 32.54 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.72 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.09 0.00 32.72 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 32.88 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.07 0.00 32.88 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.22 33.10 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.16 0.00 33.11 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.34 33.45 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.26 0.00 33.45 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 33.88 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.25 0.01 33.88 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.44 34.33 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.28 0.00 34.33 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 34.76 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.25 0.00 34.76 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.35 0.49 35.25 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.35 0.01 35.26 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.47 35.73 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.27 0.00 35.74 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.45 36.19 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.28 0.01 36.19 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.26 0.44 36.63 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.26 0.00 36.64 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.72 0.75 37.39 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.72 0.03 37.42 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.09 0.46 37.88 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.09 0.00 37.88 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.20 38.08 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.12 0.00 38.08 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.20 38.28 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.10 0.00 38.28 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 38.46 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.08 0.00 38.46 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 38.62 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.07 0.00 38.62 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 38.77 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.07 0.00 38.77 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 38.92 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.06 0.00 38.92 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.08 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.07 0.00 39.08 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 39.24 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.08 0.00 39.24 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.41 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.08 0.00 39.41 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.57 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.08 0.00 39.57 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 39.73 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.07 0.00 39.73 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.88 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.07 0.00 39.88 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 40.05 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.09 0.00 40.05 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.22 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.08 0.00 40.22 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.39 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.08 0.00 40.39 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.55 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.07 0.00 40.55 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 40.70 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.07 0.00 40.70 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.86 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.07 0.00 40.86 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.24 41.10 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.07 0.00 41.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.86 0.78 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.86 0.01 41.89 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.58 42.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net) + 0.18 0.00 42.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4) + 0.09 0.44 42.91 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN (net) + 0.09 0.00 42.91 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.31 43.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN_buf (net) + 0.20 0.00 43.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND5/D (sky130_fd_sc_hd__and4b_2) + 0.11 0.55 43.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND5/X (sky130_fd_sc_hd__and4b_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.SEL (net) + 0.11 0.00 43.77 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.58 0.60 44.37 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.07 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.SEL (net) + 0.58 0.00 44.37 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.52 0.70 45.07 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 16 0.06 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.SEL_B (net) + 0.52 0.00 45.08 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.BIT[6].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 3.91 3.28 48.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.BIT[6].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[6] (net) + 3.92 0.01 48.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[6]/D (sky130_fd_sc_hd__dfxtp_1) + 48.36 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 3.27 2.54 32.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.73 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 3.27 0.06 32.86 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[6]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 32.61 clock uncertainty + 1.22 33.83 clock reconvergence pessimism + -0.38 33.45 library setup time + 33.45 data required time +----------------------------------------------------------------------------- + 33.45 data required time + -48.36 data arrival time +----------------------------------------------------------------------------- + -14.91 slack (VIOLATED) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[13] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.30 1.18 11.99 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 1.30 0.03 12.02 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.71 12.72 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.12 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.26 0.00 13.59 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.12 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.12 0.00 14.10 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.14 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.14 0.01 14.45 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.38 0.48 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.50 0.18 15.11 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 2.20 1.84 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 2.22 0.18 17.13 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.53 1.54 18.67 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.56 0.10 18.77 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.12 0.21 18.98 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.12 0.00 18.98 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.18 19.16 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.07 0.00 19.16 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 19.32 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.07 0.00 19.32 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.18 19.50 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.10 0.00 19.50 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 19.68 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.09 0.00 19.68 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.19 19.88 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.10 0.00 19.88 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.17 20.04 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.06 0.00 20.04 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 20.20 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.08 0.00 20.20 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.36 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.07 0.00 20.36 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.52 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.07 0.00 20.52 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 20.69 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.09 0.00 20.69 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 20.87 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.09 0.00 20.87 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.04 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.08 0.00 21.04 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.21 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.08 0.00 21.21 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 21.37 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.07 0.00 21.37 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.22 21.58 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.14 0.00 21.58 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.28 21.86 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.19 0.00 21.86 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.31 22.18 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.21 0.00 22.18 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.33 22.51 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.22 0.00 22.51 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.34 22.85 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.22 0.00 22.85 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.23 0.34 23.19 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.23 0.00 23.19 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.33 23.52 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.21 0.00 23.52 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.36 23.88 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.26 0.00 23.88 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.25 24.12 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.09 0.00 24.13 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.21 24.33 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.12 0.00 24.33 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 24.58 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.15 0.00 24.58 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 24.81 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.13 0.00 24.81 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.22 25.03 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.12 0.00 25.03 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 25.27 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.15 0.00 25.27 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 25.51 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.13 0.00 25.51 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.25 25.76 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.16 0.00 25.76 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.20 25.96 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.08 0.00 25.96 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.30 0.33 26.30 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.30 0.00 26.30 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.24 26.54 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.07 0.00 26.54 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.19 26.72 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.11 0.00 26.72 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 26.92 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.09 0.00 26.92 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 27.09 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.07 0.00 27.09 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.24 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.06 0.00 27.24 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 27.40 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.08 0.00 27.40 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.56 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.07 0.00 27.56 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.72 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.07 0.00 27.72 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.87 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.06 0.00 27.87 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.01 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.06 0.00 28.01 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.16 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.06 0.00 28.16 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.31 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.06 0.00 28.31 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.46 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.06 0.00 28.46 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.61 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.06 0.00 28.61 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 28.77 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.08 0.00 28.77 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.16 28.93 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.06 0.00 28.93 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.09 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.08 0.00 29.09 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.26 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.08 0.00 29.26 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.43 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.08 0.00 29.43 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 29.61 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.09 0.00 29.61 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 29.78 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.07 0.00 29.78 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.94 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.08 0.00 29.94 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 30.18 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.17 0.00 30.18 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 30.41 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.10 0.00 30.41 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 30.59 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.08 0.00 30.59 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 30.74 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.06 0.00 30.74 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 30.89 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.07 0.00 30.89 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 31.04 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.07 0.00 31.04 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 31.20 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.08 0.00 31.20 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 31.44 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.17 0.00 31.44 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 31.67 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.10 0.00 31.67 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 31.84 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.07 0.00 31.84 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 32.01 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.08 0.00 32.01 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.18 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.09 0.00 32.18 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.37 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.09 0.00 32.37 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 32.54 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.08 0.00 32.54 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.72 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.09 0.00 32.72 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 32.88 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.07 0.00 32.88 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.22 33.10 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.16 0.00 33.11 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.34 33.45 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.26 0.00 33.45 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 33.88 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.25 0.01 33.88 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.44 34.33 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.28 0.00 34.33 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 34.76 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.25 0.00 34.76 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.35 0.49 35.25 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.35 0.01 35.26 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.47 35.73 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.27 0.00 35.74 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.45 36.19 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.28 0.01 36.19 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.26 0.44 36.63 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.26 0.00 36.64 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.72 0.75 37.39 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.72 0.03 37.42 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.09 0.46 37.88 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.09 0.00 37.88 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.20 38.08 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.12 0.00 38.08 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.20 38.28 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.10 0.00 38.28 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 38.46 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.08 0.00 38.46 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 38.62 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.07 0.00 38.62 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 38.77 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.07 0.00 38.77 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 38.92 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.06 0.00 38.92 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.08 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.07 0.00 39.08 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 39.24 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.08 0.00 39.24 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.41 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.08 0.00 39.41 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.57 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.08 0.00 39.57 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 39.73 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.07 0.00 39.73 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.88 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.07 0.00 39.88 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 40.05 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.09 0.00 40.05 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.22 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.08 0.00 40.22 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.39 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.08 0.00 40.39 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.55 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.07 0.00 40.55 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 40.70 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.07 0.00 40.70 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.86 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.07 0.00 40.86 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.24 41.10 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.07 0.00 41.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.86 0.78 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.86 0.00 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.58 42.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net) + 0.18 0.00 42.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4) + 0.09 0.46 42.92 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net) + 0.09 0.00 42.92 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.28 43.20 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net) + 0.16 0.00 43.20 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND7/D (sky130_fd_sc_hd__and4_2) + 0.11 0.53 43.73 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND7/X (sky130_fd_sc_hd__and4_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SEL (net) + 0.11 0.00 43.73 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.85 0.79 44.52 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.SEL (net) + 0.85 0.01 44.53 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.41 0.67 45.20 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.SEL_B (net) + 0.41 0.00 45.20 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.BIT[5].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 3.83 3.16 48.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.BIT[5].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.20 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[13] (net) + 3.84 0.04 48.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[13]/D (sky130_fd_sc_hd__dfxtp_1) + 48.41 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 3.27 2.54 32.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.73 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 3.27 0.10 32.89 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[13]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 32.64 clock uncertainty + 1.22 33.86 clock reconvergence pessimism + -0.37 33.50 library setup time + 33.50 data required time +----------------------------------------------------------------------------- + 33.50 data required time + -48.41 data arrival time +----------------------------------------------------------------------------- + -14.91 slack (VIOLATED) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[23] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.30 1.18 11.99 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 1.30 0.03 12.02 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.71 12.72 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.12 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.26 0.00 13.59 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.12 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.12 0.00 14.10 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.14 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.14 0.01 14.45 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.38 0.48 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.50 0.18 15.11 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 2.20 1.84 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 2.22 0.18 17.13 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.53 1.54 18.67 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.56 0.10 18.77 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.12 0.21 18.98 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.12 0.00 18.98 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.18 19.16 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.07 0.00 19.16 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 19.32 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.07 0.00 19.32 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.18 19.50 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.10 0.00 19.50 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 19.68 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.09 0.00 19.68 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.19 19.88 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.10 0.00 19.88 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.17 20.04 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.06 0.00 20.04 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 20.20 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.08 0.00 20.20 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.36 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.07 0.00 20.36 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.52 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.07 0.00 20.52 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 20.69 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.09 0.00 20.69 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 20.87 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.09 0.00 20.87 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.04 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.08 0.00 21.04 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.21 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.08 0.00 21.21 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 21.37 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.07 0.00 21.37 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.22 21.58 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.14 0.00 21.58 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.28 21.86 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.19 0.00 21.86 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.31 22.18 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.21 0.00 22.18 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.33 22.51 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.22 0.00 22.51 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.34 22.85 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.22 0.00 22.85 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.23 0.34 23.19 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.23 0.00 23.19 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.33 23.52 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.21 0.00 23.52 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.36 23.88 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.26 0.00 23.88 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.25 24.12 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.09 0.00 24.13 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.21 24.33 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.12 0.00 24.33 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 24.58 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.15 0.00 24.58 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 24.81 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.13 0.00 24.81 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.22 25.03 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.12 0.00 25.03 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 25.27 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.15 0.00 25.27 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 25.51 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.13 0.00 25.51 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.25 25.76 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.16 0.00 25.76 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.20 25.96 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.08 0.00 25.96 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.30 0.33 26.30 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.30 0.00 26.30 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.24 26.54 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.07 0.00 26.54 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.19 26.72 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.11 0.00 26.72 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 26.92 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.09 0.00 26.92 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 27.09 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.07 0.00 27.09 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.24 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.06 0.00 27.24 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 27.40 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.08 0.00 27.40 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.56 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.07 0.00 27.56 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.72 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.07 0.00 27.72 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.87 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.06 0.00 27.87 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.01 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.06 0.00 28.01 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.16 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.06 0.00 28.16 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.31 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.06 0.00 28.31 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.46 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.06 0.00 28.46 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.61 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.06 0.00 28.61 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 28.77 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.08 0.00 28.77 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.16 28.93 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.06 0.00 28.93 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.09 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.08 0.00 29.09 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.26 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.08 0.00 29.26 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.43 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.08 0.00 29.43 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 29.61 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.09 0.00 29.61 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 29.78 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.07 0.00 29.78 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.94 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.08 0.00 29.94 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 30.18 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.17 0.00 30.18 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 30.41 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.10 0.00 30.41 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 30.59 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.08 0.00 30.59 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 30.74 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.06 0.00 30.74 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 30.89 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.07 0.00 30.89 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 31.04 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.07 0.00 31.04 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 31.20 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.08 0.00 31.20 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 31.44 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.17 0.00 31.44 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 31.67 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.10 0.00 31.67 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 31.84 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.07 0.00 31.84 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 32.01 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.08 0.00 32.01 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.18 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.09 0.00 32.18 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.37 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.09 0.00 32.37 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 32.54 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.08 0.00 32.54 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.72 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.09 0.00 32.72 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 32.88 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.07 0.00 32.88 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.22 33.10 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.16 0.00 33.11 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.34 33.45 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.26 0.00 33.45 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 33.88 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.25 0.01 33.88 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.44 34.33 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.28 0.00 34.33 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 34.76 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.25 0.00 34.76 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.35 0.49 35.25 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.35 0.01 35.26 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.47 35.73 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.27 0.00 35.74 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.45 36.19 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.28 0.01 36.19 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.26 0.44 36.63 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.26 0.00 36.64 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.72 0.75 37.39 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.72 0.03 37.42 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.09 0.46 37.88 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.09 0.00 37.88 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.20 38.08 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.12 0.00 38.08 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.20 38.28 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.10 0.00 38.28 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 38.46 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.08 0.00 38.46 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 38.62 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.07 0.00 38.62 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 38.77 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.07 0.00 38.77 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 38.92 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.06 0.00 38.92 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.08 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.07 0.00 39.08 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 39.24 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.08 0.00 39.24 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.41 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.08 0.00 39.41 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.57 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.08 0.00 39.57 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 39.73 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.07 0.00 39.73 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.88 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.07 0.00 39.88 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 40.05 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.09 0.00 40.05 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.22 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.08 0.00 40.22 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.39 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.08 0.00 40.39 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.55 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.07 0.00 40.55 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 40.70 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.07 0.00 40.70 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.86 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.07 0.00 40.86 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.24 41.10 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.07 0.00 41.10 ^ soc/DFFRAM_0/BLOCK[1].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.78 0.71 41.81 ^ soc/DFFRAM_0/BLOCK[1].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.09 soc/DFFRAM_0/BLOCK[1].RAM128.A_buf[3] (net) + 0.78 0.00 41.81 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.56 42.37 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.A_buf[3] (net) + 0.18 0.00 42.37 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4) + 0.08 0.43 42.80 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4) + 1 0.00 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.EN (net) + 0.08 0.00 42.80 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.28 43.08 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.EN_buf (net) + 0.17 0.00 43.08 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2) + 0.10 0.53 43.61 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2) + 1 0.00 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[6].W.SEL (net) + 0.10 0.00 43.61 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.75 0.72 44.33 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.09 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[0].B.SEL (net) + 0.75 0.01 44.33 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.73 0.92 45.25 v soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 16 0.08 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[2].B.SEL_B (net) + 0.73 0.01 45.26 v soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[2].B.BIT[7].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 4.10 3.48 48.75 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[2].B.BIT[7].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.22 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_pre[23] (net) + 4.11 0.01 48.76 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[23]/D (sky130_fd_sc_hd__dfxtp_1) + 48.76 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ soc/DFFRAM_0/BLOCK[1].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 3.76 2.78 33.04 ^ soc/DFFRAM_0/BLOCK[1].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.83 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[0].RAM32.CLK (net) + 3.78 0.19 33.23 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[23]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 32.98 clock uncertainty + 1.22 34.20 clock reconvergence pessimism + -0.36 33.85 library setup time + 33.85 data required time +----------------------------------------------------------------------------- + 33.85 data required time + -48.76 data arrival time +----------------------------------------------------------------------------- + -14.91 slack (VIOLATED) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[15] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.30 1.18 11.99 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 1.30 0.03 12.02 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.71 12.72 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.12 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.26 0.00 13.59 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.12 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.12 0.00 14.10 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.14 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.14 0.01 14.45 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.38 0.48 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.50 0.18 15.11 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 2.20 1.84 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 2.22 0.18 17.13 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.53 1.54 18.67 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.56 0.10 18.77 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.12 0.21 18.98 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.12 0.00 18.98 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.18 19.16 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.07 0.00 19.16 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 19.32 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.07 0.00 19.32 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.18 19.50 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.10 0.00 19.50 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 19.68 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.09 0.00 19.68 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.19 19.88 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.10 0.00 19.88 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.17 20.04 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.06 0.00 20.04 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 20.20 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.08 0.00 20.20 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.36 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.07 0.00 20.36 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.52 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.07 0.00 20.52 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 20.69 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.09 0.00 20.69 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 20.87 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.09 0.00 20.87 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.04 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.08 0.00 21.04 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.21 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.08 0.00 21.21 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 21.37 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.07 0.00 21.37 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.22 21.58 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.14 0.00 21.58 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.28 21.86 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.19 0.00 21.86 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.31 22.18 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.21 0.00 22.18 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.33 22.51 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.22 0.00 22.51 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.34 22.85 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.22 0.00 22.85 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.23 0.34 23.19 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.23 0.00 23.19 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.33 23.52 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.21 0.00 23.52 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.36 23.88 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.26 0.00 23.88 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.25 24.12 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.09 0.00 24.13 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.21 24.33 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.12 0.00 24.33 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 24.58 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.15 0.00 24.58 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 24.81 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.13 0.00 24.81 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.22 25.03 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.12 0.00 25.03 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 25.27 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.15 0.00 25.27 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 25.51 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.13 0.00 25.51 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.25 25.76 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.16 0.00 25.76 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.20 25.96 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.08 0.00 25.96 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.30 0.33 26.30 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.30 0.00 26.30 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.24 26.54 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.07 0.00 26.54 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.19 26.72 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.11 0.00 26.72 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 26.92 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.09 0.00 26.92 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 27.09 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.07 0.00 27.09 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.24 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.06 0.00 27.24 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 27.40 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.08 0.00 27.40 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.56 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.07 0.00 27.56 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.72 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.07 0.00 27.72 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.87 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.06 0.00 27.87 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.01 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.06 0.00 28.01 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.16 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.06 0.00 28.16 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.31 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.06 0.00 28.31 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.46 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.06 0.00 28.46 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.61 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.06 0.00 28.61 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 28.77 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.08 0.00 28.77 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.16 28.93 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.06 0.00 28.93 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.09 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.08 0.00 29.09 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.26 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.08 0.00 29.26 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.43 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.08 0.00 29.43 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 29.61 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.09 0.00 29.61 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 29.78 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.07 0.00 29.78 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.94 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.08 0.00 29.94 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 30.18 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.17 0.00 30.18 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 30.41 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.10 0.00 30.41 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 30.59 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.08 0.00 30.59 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 30.74 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.06 0.00 30.74 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 30.89 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.07 0.00 30.89 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 31.04 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.07 0.00 31.04 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 31.20 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.08 0.00 31.20 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 31.44 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.17 0.00 31.44 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 31.67 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.10 0.00 31.67 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 31.84 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.07 0.00 31.84 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 32.01 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.08 0.00 32.01 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.18 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.09 0.00 32.18 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.37 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.09 0.00 32.37 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 32.54 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.08 0.00 32.54 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.72 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.09 0.00 32.72 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 32.88 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.07 0.00 32.88 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.22 33.10 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.16 0.00 33.11 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.34 33.45 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.26 0.00 33.45 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 33.88 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.25 0.01 33.88 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.44 34.33 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.28 0.00 34.33 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 34.76 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.25 0.00 34.76 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.35 0.49 35.25 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.35 0.01 35.26 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.47 35.73 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.27 0.00 35.74 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.45 36.19 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.28 0.01 36.19 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.26 0.44 36.63 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.26 0.00 36.64 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.72 0.75 37.39 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.72 0.03 37.42 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.09 0.46 37.88 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.09 0.00 37.88 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.20 38.08 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.12 0.00 38.08 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.20 38.28 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.10 0.00 38.28 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 38.46 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.08 0.00 38.46 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 38.62 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.07 0.00 38.62 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 38.77 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.07 0.00 38.77 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 38.92 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.06 0.00 38.92 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.08 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.07 0.00 39.08 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 39.24 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.08 0.00 39.24 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.41 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.08 0.00 39.41 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.57 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.08 0.00 39.57 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 39.73 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.07 0.00 39.73 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.88 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.07 0.00 39.88 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 40.05 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.09 0.00 40.05 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.22 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.08 0.00 40.22 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.39 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.08 0.00 40.39 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.55 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.07 0.00 40.55 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 40.70 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.07 0.00 40.70 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.86 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.07 0.00 40.86 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.24 41.10 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.07 0.00 41.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.86 0.78 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.86 0.01 41.89 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.58 42.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net) + 0.18 0.00 42.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4) + 0.09 0.44 42.91 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN (net) + 0.09 0.00 42.91 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.31 43.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN_buf (net) + 0.20 0.00 43.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/D (sky130_fd_sc_hd__and4b_2) + 0.10 0.53 43.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/X (sky130_fd_sc_hd__and4b_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SEL (net) + 0.10 0.00 43.76 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.68 0.66 44.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[0].B.SEL (net) + 0.68 0.00 44.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.41 0.65 45.07 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SEL_B (net) + 0.41 0.00 45.07 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[7].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 3.91 3.19 48.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[7].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[15] (net) + 3.91 0.08 48.34 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[15]/D (sky130_fd_sc_hd__dfxtp_1) + 48.34 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 3.27 2.54 32.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.73 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 3.27 0.05 32.84 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[15]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 32.59 clock uncertainty + 1.22 33.81 clock reconvergence pessimism + -0.38 33.44 library setup time + 33.44 data required time +----------------------------------------------------------------------------- + 33.44 data required time + -48.34 data arrival time +----------------------------------------------------------------------------- + -14.90 slack (VIOLATED) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[2] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.30 1.18 11.99 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 1.30 0.03 12.02 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.71 12.72 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.12 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.26 0.00 13.59 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.12 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.12 0.00 14.10 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.14 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.14 0.01 14.45 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.38 0.48 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.50 0.18 15.11 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 2.20 1.84 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 2.22 0.18 17.13 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.53 1.54 18.67 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.56 0.10 18.77 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.12 0.21 18.98 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.12 0.00 18.98 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.18 19.16 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.07 0.00 19.16 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 19.32 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.07 0.00 19.32 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.18 19.50 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.10 0.00 19.50 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 19.68 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.09 0.00 19.68 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.19 19.88 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.10 0.00 19.88 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.17 20.04 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.06 0.00 20.04 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 20.20 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.08 0.00 20.20 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.36 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.07 0.00 20.36 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.52 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.07 0.00 20.52 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 20.69 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.09 0.00 20.69 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 20.87 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.09 0.00 20.87 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.04 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.08 0.00 21.04 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.21 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.08 0.00 21.21 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 21.37 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.07 0.00 21.37 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.22 21.58 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.14 0.00 21.58 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.28 21.86 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.19 0.00 21.86 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.31 22.18 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.21 0.00 22.18 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.33 22.51 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.22 0.00 22.51 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.34 22.85 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.22 0.00 22.85 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.23 0.34 23.19 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.23 0.00 23.19 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.33 23.52 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.21 0.00 23.52 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.36 23.88 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.26 0.00 23.88 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.25 24.12 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.09 0.00 24.13 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.21 24.33 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.12 0.00 24.33 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 24.58 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.15 0.00 24.58 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 24.81 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.13 0.00 24.81 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.22 25.03 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.12 0.00 25.03 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 25.27 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.15 0.00 25.27 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 25.51 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.13 0.00 25.51 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.25 25.76 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.16 0.00 25.76 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.20 25.96 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.08 0.00 25.96 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.30 0.33 26.30 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.30 0.00 26.30 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.24 26.54 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.07 0.00 26.54 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.19 26.72 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.11 0.00 26.72 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 26.92 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.09 0.00 26.92 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 27.09 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.07 0.00 27.09 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.24 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.06 0.00 27.24 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 27.40 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.08 0.00 27.40 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.56 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.07 0.00 27.56 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.72 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.07 0.00 27.72 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.87 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.06 0.00 27.87 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.01 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.06 0.00 28.01 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.16 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.06 0.00 28.16 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.31 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.06 0.00 28.31 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.46 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.06 0.00 28.46 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.61 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.06 0.00 28.61 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 28.77 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.08 0.00 28.77 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.16 28.93 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.06 0.00 28.93 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.09 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.08 0.00 29.09 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.26 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.08 0.00 29.26 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.43 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.08 0.00 29.43 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 29.61 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.09 0.00 29.61 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 29.78 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.07 0.00 29.78 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.94 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.08 0.00 29.94 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 30.18 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.17 0.00 30.18 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 30.41 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.10 0.00 30.41 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 30.59 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.08 0.00 30.59 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 30.74 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.06 0.00 30.74 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 30.89 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.07 0.00 30.89 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 31.04 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.07 0.00 31.04 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 31.20 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.08 0.00 31.20 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 31.44 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.17 0.00 31.44 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 31.67 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.10 0.00 31.67 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 31.84 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.07 0.00 31.84 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 32.01 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.08 0.00 32.01 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.18 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.09 0.00 32.18 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.37 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.09 0.00 32.37 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 32.54 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.08 0.00 32.54 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.72 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.09 0.00 32.72 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 32.88 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.07 0.00 32.88 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.22 33.10 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.16 0.00 33.11 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.34 33.45 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.26 0.00 33.45 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 33.88 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.25 0.01 33.88 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.44 34.33 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.28 0.00 34.33 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 34.76 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.25 0.00 34.76 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.35 0.49 35.25 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.35 0.01 35.26 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.47 35.73 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.27 0.00 35.74 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.45 36.19 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.28 0.01 36.19 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.26 0.44 36.63 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.26 0.00 36.64 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.72 0.75 37.39 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.72 0.03 37.42 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.09 0.46 37.88 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.09 0.00 37.88 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.20 38.08 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.12 0.00 38.08 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.20 38.28 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.10 0.00 38.28 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 38.46 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.08 0.00 38.46 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 38.62 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.07 0.00 38.62 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 38.77 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.07 0.00 38.77 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 38.92 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.06 0.00 38.92 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.08 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.07 0.00 39.08 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 39.24 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.08 0.00 39.24 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.41 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.08 0.00 39.41 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.57 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.08 0.00 39.57 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 39.73 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.07 0.00 39.73 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.88 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.07 0.00 39.88 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 40.05 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.09 0.00 40.05 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.22 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.08 0.00 40.22 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.39 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.08 0.00 40.39 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.55 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.07 0.00 40.55 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 40.70 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.07 0.00 40.70 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.86 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.07 0.00 40.86 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.24 41.10 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.07 0.00 41.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.86 0.78 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.86 0.00 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.58 42.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net) + 0.18 0.00 42.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4) + 0.09 0.46 42.92 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net) + 0.09 0.00 42.92 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.28 43.20 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net) + 0.16 0.00 43.20 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND7/D (sky130_fd_sc_hd__and4_2) + 0.11 0.53 43.73 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND7/X (sky130_fd_sc_hd__and4_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SEL (net) + 0.11 0.00 43.73 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.85 0.79 44.52 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.SEL (net) + 0.85 0.00 44.53 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.41 0.67 45.19 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.SEL_B (net) + 0.41 0.00 45.20 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.BIT[2].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 3.86 3.16 48.35 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.BIT[2].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.20 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[2] (net) + 3.86 0.06 48.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[2]/D (sky130_fd_sc_hd__dfxtp_1) + 48.41 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 3.27 2.54 32.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.73 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 3.27 0.11 32.90 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[2]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 32.65 clock uncertainty + 1.22 33.87 clock reconvergence pessimism + -0.37 33.50 library setup time + 33.50 data required time +----------------------------------------------------------------------------- + 33.50 data required time + -48.41 data arrival time +----------------------------------------------------------------------------- + -14.90 slack (VIOLATED) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[19] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.30 1.18 11.99 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 1.30 0.03 12.02 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.71 12.72 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.12 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.26 0.00 13.59 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.12 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.12 0.00 14.10 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.14 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.14 0.01 14.45 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.38 0.48 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.50 0.18 15.11 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 2.20 1.84 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 2.22 0.18 17.13 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.53 1.54 18.67 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.56 0.10 18.77 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.12 0.21 18.98 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.12 0.00 18.98 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.18 19.16 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.07 0.00 19.16 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 19.32 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.07 0.00 19.32 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.18 19.50 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.10 0.00 19.50 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 19.68 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.09 0.00 19.68 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.19 19.88 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.10 0.00 19.88 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.17 20.04 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.06 0.00 20.04 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 20.20 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.08 0.00 20.20 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.36 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.07 0.00 20.36 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.52 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.07 0.00 20.52 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 20.69 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.09 0.00 20.69 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 20.87 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.09 0.00 20.87 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.04 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.08 0.00 21.04 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.21 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.08 0.00 21.21 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 21.37 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.07 0.00 21.37 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.22 21.58 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.14 0.00 21.58 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.28 21.86 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.19 0.00 21.86 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.31 22.18 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.21 0.00 22.18 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.33 22.51 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.22 0.00 22.51 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.34 22.85 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.22 0.00 22.85 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.23 0.34 23.19 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.23 0.00 23.19 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.33 23.52 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.21 0.00 23.52 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.36 23.88 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.26 0.00 23.88 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.25 24.12 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.09 0.00 24.13 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.21 24.33 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.12 0.00 24.33 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 24.58 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.15 0.00 24.58 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 24.81 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.13 0.00 24.81 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.22 25.03 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.12 0.00 25.03 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 25.27 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.15 0.00 25.27 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 25.51 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.13 0.00 25.51 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.25 25.76 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.16 0.00 25.76 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.20 25.96 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.08 0.00 25.96 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.30 0.33 26.30 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.30 0.00 26.30 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.24 26.54 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.07 0.00 26.54 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.19 26.72 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.11 0.00 26.72 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 26.92 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.09 0.00 26.92 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 27.09 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.07 0.00 27.09 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.24 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.06 0.00 27.24 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 27.40 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.08 0.00 27.40 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.56 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.07 0.00 27.56 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.72 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.07 0.00 27.72 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.87 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.06 0.00 27.87 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.01 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.06 0.00 28.01 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.16 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.06 0.00 28.16 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.31 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.06 0.00 28.31 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.46 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.06 0.00 28.46 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.61 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.06 0.00 28.61 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 28.77 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.08 0.00 28.77 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.16 28.93 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.06 0.00 28.93 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.09 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.08 0.00 29.09 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.26 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.08 0.00 29.26 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.43 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.08 0.00 29.43 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 29.61 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.09 0.00 29.61 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 29.78 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.07 0.00 29.78 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.94 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.08 0.00 29.94 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 30.18 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.17 0.00 30.18 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 30.41 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.10 0.00 30.41 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 30.59 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.08 0.00 30.59 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 30.74 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.06 0.00 30.74 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 30.89 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.07 0.00 30.89 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 31.04 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.07 0.00 31.04 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 31.20 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.08 0.00 31.20 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 31.44 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.17 0.00 31.44 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 31.67 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.10 0.00 31.67 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 31.84 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.07 0.00 31.84 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 32.01 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.08 0.00 32.01 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.18 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.09 0.00 32.18 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.37 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.09 0.00 32.37 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 32.54 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.08 0.00 32.54 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.72 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.09 0.00 32.72 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 32.88 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.07 0.00 32.88 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.22 33.10 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.16 0.00 33.11 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.34 33.45 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.26 0.00 33.45 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 33.88 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.25 0.01 33.88 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.44 34.33 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.28 0.00 34.33 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 34.76 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.25 0.00 34.76 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.35 0.49 35.25 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.35 0.01 35.26 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.47 35.73 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.27 0.00 35.74 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.45 36.19 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.28 0.01 36.19 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.26 0.44 36.63 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.26 0.00 36.64 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.72 0.75 37.39 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.72 0.03 37.42 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.09 0.46 37.88 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.09 0.00 37.88 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.20 38.08 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.12 0.00 38.08 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.20 38.28 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.10 0.00 38.28 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 38.46 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.08 0.00 38.46 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 38.62 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.07 0.00 38.62 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 38.77 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.07 0.00 38.77 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 38.92 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.06 0.00 38.92 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.08 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.07 0.00 39.08 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 39.24 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.08 0.00 39.24 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.41 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.08 0.00 39.41 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.57 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.08 0.00 39.57 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 39.73 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.07 0.00 39.73 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.88 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.07 0.00 39.88 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 40.05 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.09 0.00 40.05 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.22 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.08 0.00 40.22 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.39 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.08 0.00 40.39 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.55 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.07 0.00 40.55 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 40.70 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.07 0.00 40.70 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.86 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.07 0.00 40.86 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.24 41.10 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.07 0.00 41.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.86 0.78 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.86 0.01 41.89 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.58 42.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net) + 0.18 0.00 42.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4) + 0.09 0.44 42.91 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN (net) + 0.09 0.00 42.91 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.20 0.31 43.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN_buf (net) + 0.20 0.00 43.22 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2) + 0.11 0.56 43.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.SEL (net) + 0.11 0.00 43.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.66 0.66 44.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[0].B.SEL (net) + 0.66 0.00 44.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.40 0.62 45.05 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[2].B.SEL_B (net) + 0.40 0.00 45.05 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[2].B.BIT[3].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 3.94 3.24 48.29 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[2].B.BIT[3].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[19] (net) + 3.95 0.03 48.32 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[19]/D (sky130_fd_sc_hd__dfxtp_1) + 48.32 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 3.27 2.54 32.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.73 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 3.27 0.03 32.83 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[19]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 32.58 clock uncertainty + 1.22 33.80 clock reconvergence pessimism + -0.38 33.42 library setup time + 33.42 data required time +----------------------------------------------------------------------------- + 33.42 data required time + -48.32 data arrival time +----------------------------------------------------------------------------- + -14.90 slack (VIOLATED) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[15] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.30 1.18 11.99 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 1.30 0.03 12.02 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.71 12.72 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.12 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.26 0.00 13.59 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.12 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.12 0.00 14.10 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.14 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.14 0.01 14.45 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.38 0.48 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.50 0.18 15.11 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 2.20 1.84 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 2.22 0.18 17.13 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.53 1.54 18.67 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.56 0.10 18.77 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.12 0.21 18.98 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.12 0.00 18.98 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.18 19.16 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.07 0.00 19.16 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 19.32 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.07 0.00 19.32 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.18 19.50 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.10 0.00 19.50 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 19.68 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.09 0.00 19.68 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.19 19.88 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.10 0.00 19.88 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.17 20.04 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.06 0.00 20.04 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 20.20 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.08 0.00 20.20 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.36 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.07 0.00 20.36 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.52 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.07 0.00 20.52 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 20.69 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.09 0.00 20.69 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 20.87 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.09 0.00 20.87 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.04 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.08 0.00 21.04 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.21 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.08 0.00 21.21 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 21.37 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.07 0.00 21.37 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.22 21.58 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.14 0.00 21.58 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.28 21.86 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.19 0.00 21.86 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.31 22.18 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.21 0.00 22.18 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.33 22.51 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.22 0.00 22.51 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.34 22.85 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.22 0.00 22.85 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.23 0.34 23.19 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.23 0.00 23.19 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.33 23.52 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.21 0.00 23.52 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.36 23.88 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.26 0.00 23.88 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.25 24.12 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.09 0.00 24.13 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.21 24.33 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.12 0.00 24.33 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 24.58 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.15 0.00 24.58 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 24.81 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.13 0.00 24.81 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.22 25.03 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.12 0.00 25.03 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 25.27 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.15 0.00 25.27 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 25.51 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.13 0.00 25.51 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.25 25.76 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.16 0.00 25.76 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.20 25.96 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.08 0.00 25.96 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.30 0.33 26.30 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.30 0.00 26.30 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.24 26.54 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.07 0.00 26.54 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.19 26.72 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.11 0.00 26.72 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 26.92 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.09 0.00 26.92 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 27.09 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.07 0.00 27.09 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.24 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.06 0.00 27.24 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 27.40 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.08 0.00 27.40 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.56 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.07 0.00 27.56 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.72 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.07 0.00 27.72 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.87 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.06 0.00 27.87 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.01 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.06 0.00 28.01 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.16 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.06 0.00 28.16 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.31 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.06 0.00 28.31 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.46 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.06 0.00 28.46 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.61 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.06 0.00 28.61 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 28.77 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.08 0.00 28.77 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.16 28.93 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.06 0.00 28.93 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.09 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.08 0.00 29.09 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.26 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.08 0.00 29.26 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.43 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.08 0.00 29.43 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 29.61 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.09 0.00 29.61 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 29.78 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.07 0.00 29.78 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.94 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.08 0.00 29.94 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 30.18 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.17 0.00 30.18 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 30.41 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.10 0.00 30.41 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 30.59 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.08 0.00 30.59 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 30.74 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.06 0.00 30.74 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 30.89 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.07 0.00 30.89 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 31.04 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.07 0.00 31.04 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 31.20 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.08 0.00 31.20 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 31.44 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.17 0.00 31.44 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 31.67 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.10 0.00 31.67 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 31.84 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.07 0.00 31.84 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 32.01 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.08 0.00 32.01 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.18 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.09 0.00 32.18 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.37 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.09 0.00 32.37 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 32.54 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.08 0.00 32.54 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.72 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.09 0.00 32.72 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 32.88 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.07 0.00 32.88 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.22 33.10 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.16 0.00 33.11 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.34 33.45 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.26 0.00 33.45 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 33.88 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.25 0.01 33.88 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.44 34.33 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.28 0.00 34.33 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 34.76 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.25 0.00 34.76 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.35 0.49 35.25 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.35 0.01 35.26 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.47 35.73 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.27 0.00 35.74 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.45 36.19 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.28 0.01 36.19 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.26 0.44 36.63 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.26 0.00 36.64 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.72 0.75 37.39 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.72 0.03 37.42 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.09 0.46 37.88 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.09 0.00 37.88 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.20 38.08 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.12 0.00 38.08 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.20 38.28 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.10 0.00 38.28 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 38.46 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.08 0.00 38.46 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 38.62 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.07 0.00 38.62 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 38.77 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.07 0.00 38.77 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 38.92 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.06 0.00 38.92 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.08 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.07 0.00 39.08 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 39.24 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.08 0.00 39.24 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.41 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.08 0.00 39.41 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.57 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.08 0.00 39.57 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 39.73 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.07 0.00 39.73 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.88 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.07 0.00 39.88 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 40.05 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.09 0.00 40.05 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.22 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.08 0.00 40.22 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.39 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.08 0.00 40.39 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.55 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.07 0.00 40.55 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 40.70 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.07 0.00 40.70 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.86 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.07 0.00 40.86 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.24 41.10 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.07 0.00 41.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.86 0.78 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.86 0.01 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.58 42.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.A_buf[3] (net) + 0.18 0.00 42.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4) + 0.12 0.49 42.96 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4) + 1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN (net) + 0.12 0.00 42.96 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.19 0.31 43.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN_buf (net) + 0.19 0.00 43.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/D (sky130_fd_sc_hd__and4bb_2) + 0.10 0.53 43.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/X (sky130_fd_sc_hd__and4bb_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SEL (net) + 0.10 0.00 43.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.65 0.64 44.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[0].B.SEL (net) + 0.65 0.01 44.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.41 0.63 45.08 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SEL_B (net) + 0.41 0.00 45.08 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[7].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 3.91 3.19 48.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[7].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_pre[15] (net) + 3.92 0.05 48.32 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[15]/D (sky130_fd_sc_hd__dfxtp_1) + 48.32 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 3.27 2.54 32.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.73 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 3.27 0.03 32.83 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[15]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 32.58 clock uncertainty + 1.22 33.80 clock reconvergence pessimism + -0.38 33.42 library setup time + 33.42 data required time +----------------------------------------------------------------------------- + 33.42 data required time + -48.32 data arrival time +----------------------------------------------------------------------------- + -14.90 slack (VIOLATED) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[29] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.30 1.18 11.99 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 1.30 0.03 12.02 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.71 12.72 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.12 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.26 0.00 13.59 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.12 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.12 0.00 14.10 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.14 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.14 0.01 14.45 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.38 0.48 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.50 0.18 15.11 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 2.20 1.84 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 2.22 0.18 17.13 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.53 1.54 18.67 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.56 0.10 18.77 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.12 0.21 18.98 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.12 0.00 18.98 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.18 19.16 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.07 0.00 19.16 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 19.32 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.07 0.00 19.32 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.18 19.50 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.10 0.00 19.50 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 19.68 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.09 0.00 19.68 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.19 19.88 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.10 0.00 19.88 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.17 20.04 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.06 0.00 20.04 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 20.20 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.08 0.00 20.20 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.36 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.07 0.00 20.36 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.52 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.07 0.00 20.52 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 20.69 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.09 0.00 20.69 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 20.87 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.09 0.00 20.87 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.04 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.08 0.00 21.04 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.21 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.08 0.00 21.21 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 21.37 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.07 0.00 21.37 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.22 21.58 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.14 0.00 21.58 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.28 21.86 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.19 0.00 21.86 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.31 22.18 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.21 0.00 22.18 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.33 22.51 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.22 0.00 22.51 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.34 22.85 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.22 0.00 22.85 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.23 0.34 23.19 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.23 0.00 23.19 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.33 23.52 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.21 0.00 23.52 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.36 23.88 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.26 0.00 23.88 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.25 24.12 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.09 0.00 24.13 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.21 24.33 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.12 0.00 24.33 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 24.58 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.15 0.00 24.58 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 24.81 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.13 0.00 24.81 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.22 25.03 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.12 0.00 25.03 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 25.27 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.15 0.00 25.27 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 25.51 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.13 0.00 25.51 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.25 25.76 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.16 0.00 25.76 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.20 25.96 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.08 0.00 25.96 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.30 0.33 26.30 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.30 0.00 26.30 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.24 26.54 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.07 0.00 26.54 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.19 26.72 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.11 0.00 26.72 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 26.92 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.09 0.00 26.92 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 27.09 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.07 0.00 27.09 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.24 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.06 0.00 27.24 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 27.40 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.08 0.00 27.40 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.56 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.07 0.00 27.56 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.72 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.07 0.00 27.72 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.87 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.06 0.00 27.87 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.01 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.06 0.00 28.01 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.16 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.06 0.00 28.16 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.31 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.06 0.00 28.31 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.46 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.06 0.00 28.46 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.61 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.06 0.00 28.61 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 28.77 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.08 0.00 28.77 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.16 28.93 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.06 0.00 28.93 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.09 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.08 0.00 29.09 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.26 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.08 0.00 29.26 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.43 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.08 0.00 29.43 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 29.61 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.09 0.00 29.61 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 29.78 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.07 0.00 29.78 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.94 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.08 0.00 29.94 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 30.18 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.17 0.00 30.18 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 30.41 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.10 0.00 30.41 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 30.59 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.08 0.00 30.59 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 30.74 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.06 0.00 30.74 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 30.89 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.07 0.00 30.89 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 31.04 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.07 0.00 31.04 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 31.20 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.08 0.00 31.20 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 31.44 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.17 0.00 31.44 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 31.67 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.10 0.00 31.67 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 31.84 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.07 0.00 31.84 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 32.01 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.08 0.00 32.01 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.18 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.09 0.00 32.18 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.37 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.09 0.00 32.37 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 32.54 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.08 0.00 32.54 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.72 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.09 0.00 32.72 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 32.88 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.07 0.00 32.88 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.22 33.10 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.16 0.00 33.11 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.34 33.45 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.26 0.00 33.45 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 33.88 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.25 0.01 33.88 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.44 34.33 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.28 0.00 34.33 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 34.76 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.25 0.00 34.76 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.35 0.49 35.25 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.35 0.01 35.26 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.47 35.73 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.27 0.00 35.74 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.45 36.19 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.28 0.01 36.19 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.26 0.44 36.63 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.26 0.00 36.64 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.72 0.75 37.39 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.72 0.03 37.42 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.09 0.46 37.88 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.09 0.00 37.88 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.20 38.08 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.12 0.00 38.08 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.20 38.28 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.10 0.00 38.28 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 38.46 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.08 0.00 38.46 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 38.62 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.07 0.00 38.62 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 38.77 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.07 0.00 38.77 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 38.92 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.06 0.00 38.92 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.08 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.07 0.00 39.08 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 39.24 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.08 0.00 39.24 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.41 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.08 0.00 39.41 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.57 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.08 0.00 39.57 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 39.73 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.07 0.00 39.73 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.88 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.07 0.00 39.88 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 40.05 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.09 0.00 40.05 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.22 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.08 0.00 40.22 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.39 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.08 0.00 40.39 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.55 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.07 0.00 40.55 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 40.70 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.07 0.00 40.70 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.86 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.07 0.00 40.86 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.24 41.10 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.07 0.00 41.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.86 0.78 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.86 0.00 41.88 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.58 42.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net) + 0.18 0.00 42.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4) + 0.09 0.46 42.92 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net) + 0.09 0.00 42.92 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.28 43.20 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net) + 0.16 0.00 43.20 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND4/D (sky130_fd_sc_hd__and4bb_2) + 0.11 0.54 43.74 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND4/X (sky130_fd_sc_hd__and4bb_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[4].W.SEL (net) + 0.11 0.00 43.74 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[4].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.77 0.74 44.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[4].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.09 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[4].W.BYTE[0].B.SEL (net) + 0.77 0.01 44.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[4].W.BYTE[3].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.60 0.83 45.32 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[4].W.BYTE[3].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 16 0.07 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[4].W.BYTE[3].B.SEL_B (net) + 0.60 0.00 45.32 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[4].W.BYTE[3].B.BIT[5].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 3.67 3.10 48.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[4].W.BYTE[3].B.BIT[5].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.19 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[29] (net) + 3.67 0.00 48.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[29]/D (sky130_fd_sc_hd__dfxtp_1) + 48.42 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 3.27 2.54 32.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.73 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 3.27 0.10 32.90 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[29]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 32.65 clock uncertainty + 1.22 33.87 clock reconvergence pessimism + -0.35 33.52 library setup time + 33.52 data required time +----------------------------------------------------------------------------- + 33.52 data required time + -48.42 data arrival time +----------------------------------------------------------------------------- + -14.90 slack (VIOLATED) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[20] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 2.12 2.12 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.14 2.55 4.67 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.14 0.00 4.67 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.24 4.91 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 4.92 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.16 5.07 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 5.08 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.23 5.31 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 5.31 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.54 5.85 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 5.85 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.63 6.48 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 6.48 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.44 6.91 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.08 0.00 6.92 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.17 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.08 0.00 7.17 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.25 7.43 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.08 0.00 7.43 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.69 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.08 0.00 7.69 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.26 7.95 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.08 0.00 7.96 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.30 0.44 8.40 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.30 0.01 8.41 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.36 8.77 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.08 0.00 8.77 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.25 0.40 9.17 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.25 0.00 9.18 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.35 9.52 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.09 0.00 9.53 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.25 9.78 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.07 0.00 9.78 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.35 10.13 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.19 0.00 10.13 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.17 0.39 10.52 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.17 0.00 10.52 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.29 10.81 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.07 0.00 10.81 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.30 1.18 11.99 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 1.30 0.03 12.02 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.71 12.72 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.12 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.26 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.26 0.00 13.59 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.12 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.12 0.00 14.10 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.14 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.14 0.01 14.45 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.38 0.48 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.50 0.18 15.11 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 2.20 1.84 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 2.22 0.18 17.13 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.53 1.54 18.67 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.56 0.10 18.77 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.12 0.21 18.98 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.12 0.00 18.98 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.18 19.16 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.07 0.00 19.16 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 19.32 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.07 0.00 19.32 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.18 19.50 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.10 0.00 19.50 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 19.68 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.09 0.00 19.68 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.19 19.88 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.10 0.00 19.88 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.17 20.04 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.06 0.00 20.04 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 20.20 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.08 0.00 20.20 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.36 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.07 0.00 20.36 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 20.52 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.07 0.00 20.52 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 20.69 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.09 0.00 20.69 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 20.87 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.09 0.00 20.87 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.04 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.08 0.00 21.04 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 21.21 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.08 0.00 21.21 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 21.37 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.07 0.00 21.37 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.22 21.58 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.14 0.00 21.58 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.28 21.86 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.19 0.00 21.86 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.31 22.18 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.21 0.00 22.18 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.33 22.51 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.22 0.00 22.51 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.22 0.34 22.85 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.22 0.00 22.85 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.23 0.34 23.19 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.23 0.00 23.19 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.33 23.52 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.21 0.00 23.52 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.36 23.88 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.26 0.00 23.88 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.25 24.12 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.09 0.00 24.13 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.21 24.33 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.12 0.00 24.33 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 24.58 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.15 0.00 24.58 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 24.81 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.13 0.00 24.81 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.22 25.03 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.12 0.00 25.03 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.15 0.24 25.27 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.15 0.00 25.27 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.24 25.51 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.13 0.00 25.51 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.25 25.76 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.16 0.00 25.76 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.20 25.96 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.08 0.00 25.96 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.30 0.33 26.30 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.30 0.00 26.30 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.24 26.54 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.07 0.00 26.54 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.19 26.72 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.11 0.00 26.72 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.19 26.92 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.09 0.00 26.92 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 27.09 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.07 0.00 27.09 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.24 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.06 0.00 27.24 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 27.40 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.08 0.00 27.40 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.56 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.07 0.00 27.56 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 27.72 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.07 0.00 27.72 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 27.87 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.06 0.00 27.87 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.01 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.06 0.00 28.01 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.16 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.06 0.00 28.16 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.31 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.06 0.00 28.31 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.46 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.06 0.00 28.46 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 28.61 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.06 0.00 28.61 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 28.77 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.08 0.00 28.77 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.16 28.93 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.06 0.00 28.93 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.09 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.08 0.00 29.09 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.26 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.08 0.00 29.26 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 29.43 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.08 0.00 29.43 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 29.61 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.09 0.00 29.61 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 29.78 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.07 0.00 29.78 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 29.94 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.08 0.00 29.94 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 30.18 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.17 0.00 30.18 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 30.41 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.10 0.00 30.41 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 30.59 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.08 0.00 30.59 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 30.74 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.06 0.00 30.74 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 30.89 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.07 0.00 30.89 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 31.04 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.07 0.00 31.04 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 31.20 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.08 0.00 31.20 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.17 0.24 31.44 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.17 0.00 31.44 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.23 31.67 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.10 0.00 31.67 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.17 31.84 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.07 0.00 31.84 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 32.01 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.08 0.00 32.01 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.18 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.09 0.00 32.18 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.37 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.09 0.00 32.37 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 32.54 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.08 0.00 32.54 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.18 32.72 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.09 0.00 32.72 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 32.88 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.07 0.00 32.88 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.22 33.10 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.16 0.00 33.11 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.26 0.34 33.45 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.26 0.00 33.45 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 33.88 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.25 0.01 33.88 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.44 34.33 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.28 0.00 34.33 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.25 0.43 34.76 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.25 0.00 34.76 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.35 0.49 35.25 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.35 0.01 35.26 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.47 35.73 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.27 0.00 35.74 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.28 0.45 36.19 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.28 0.01 36.19 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.26 0.44 36.63 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.26 0.00 36.64 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.72 0.75 37.39 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.72 0.03 37.42 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.09 0.46 37.88 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.09 0.00 37.88 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.20 38.08 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.12 0.00 38.08 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.20 38.28 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.10 0.00 38.28 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.18 38.46 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.08 0.00 38.46 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 38.62 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.07 0.00 38.62 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 38.77 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.07 0.00 38.77 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.15 38.92 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.06 0.00 38.92 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.08 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.07 0.00 39.08 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.16 39.24 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.08 0.00 39.24 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.41 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.08 0.00 39.41 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 39.57 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.08 0.00 39.57 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 39.73 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.07 0.00 39.73 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 39.88 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.07 0.00 39.88 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.17 40.05 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.09 0.00 40.05 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.22 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.08 0.00 40.22 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.17 40.39 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.08 0.00 40.39 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.55 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.07 0.00 40.55 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.15 40.70 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.07 0.00 40.70 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.16 40.86 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.07 0.00 40.86 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.07 0.24 41.10 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.07 0.00 41.10 ^ soc/DFFRAM_0/BLOCK[1].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.78 0.71 41.81 ^ soc/DFFRAM_0/BLOCK[1].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.09 soc/DFFRAM_0/BLOCK[1].RAM128.A_buf[3] (net) + 0.78 0.00 41.81 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.56 42.37 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.A_buf[3] (net) + 0.18 0.00 42.37 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4) + 0.08 0.43 42.80 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4) + 1 0.00 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.EN (net) + 0.08 0.00 42.80 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.28 43.08 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.EN_buf (net) + 0.17 0.00 43.08 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2) + 0.10 0.53 43.61 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2) + 1 0.00 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[6].W.SEL (net) + 0.10 0.00 43.61 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.75 0.72 44.33 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.09 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[0].B.SEL (net) + 0.75 0.01 44.33 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.73 0.92 45.25 v soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 16 0.08 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[2].B.SEL_B (net) + 0.73 0.01 45.26 v soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[2].B.BIT[4].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 4.04 3.44 48.70 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[2].B.BIT[4].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.21 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_pre[20] (net) + 4.05 0.04 48.74 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[20]/D (sky130_fd_sc_hd__dfxtp_1) + 48.74 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.16 clock (net) + 0.00 1.92 26.92 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.16 clock (net) + 0.12 1.71 28.63 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.12 0.00 28.63 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.21 28.84 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.05 0.00 28.84 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.14 28.98 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.04 0.00 28.99 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.09 0.21 29.20 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.09 0.00 29.20 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.44 0.49 29.68 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.44 0.00 29.69 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.52 0.57 30.25 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.52 0.00 30.25 ^ soc/DFFRAM_0/BLOCK[1].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 3.76 2.78 33.04 ^ soc/DFFRAM_0/BLOCK[1].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.83 soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[0].RAM32.CLK (net) + 3.78 0.19 33.23 ^ soc/DFFRAM_0/BLOCK[1].RAM128.BLOCK[2].RAM32.Do_FF[20]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 32.98 clock uncertainty + 1.22 34.20 clock reconvergence pessimism + -0.35 33.85 library setup time + 33.85 data required time +----------------------------------------------------------------------------- + 33.85 data required time + -48.74 data arrival time +----------------------------------------------------------------------------- + -14.89 slack (VIOLATED) + + +worst slack -126.19 +worst slack 0.64 + Management Area Interface +No paths found. + User project Interface +Startpoint: clock (clock source 'clock') +Endpoint: mprj/wb_clk_i (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.50 v clock (in) + 4.73 17.23 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.30 17.53 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 0.16 17.69 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 0.66 18.35 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 0.94 19.29 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 0.48 19.77 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 0.38 20.15 v mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 20.34 ^ mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2) + 0.07 20.41 v mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 20.41 v mprj/wb_clk_i (user_project_wrapper) + 20.41 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: mprj/wb_rst_i (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 19.45 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 1.12 20.56 ^ clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 0.08 20.64 v clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 0.28 20.92 v clocking/output11/X (sky130_fd_sc_hd__buf_2) + 0.30 21.22 v mgmt_buffers/input3/X (sky130_fd_sc_hd__clkbuf_2) + 0.11 21.33 ^ mgmt_buffers/mprj_rstn_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 21.33 ^ mprj/wb_rst_i (user_project_wrapper) + 21.33 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_cyc_i (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.49 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 2.02 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 1.47 18.42 v soc/core/_32606_/X (sky130_fd_sc_hd__mux2_8) + 1.38 19.80 ^ soc/core/_17309_/X (sky130_fd_sc_hd__and4b_4) + 0.38 20.18 v soc/core/_24195_/Y (sky130_fd_sc_hd__nand2_4) + 0.99 21.17 ^ soc/core/_32286_/Y (sky130_fd_sc_hd__nor3b_4) + 0.60 21.77 ^ soc/core/output711/X (sky130_fd_sc_hd__clkbuf_4) + 0.34 22.11 ^ mgmt_buffers/input549/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.26 22.37 v mgmt_buffers/_393_/Y (sky130_fd_sc_hd__inv_6) + 0.14 22.51 ^ mgmt_buffers/mprj_cyc_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 22.51 ^ mprj/wbs_cyc_i (user_project_wrapper) + 22.51 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_stb_i (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.49 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 2.02 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 1.73 18.68 v soc/core/_33564_/X (sky130_fd_sc_hd__mux2_8) + 0.46 19.14 ^ soc/core/_19656_/Y (sky130_fd_sc_hd__clkinv_16) + 0.53 19.67 ^ soc/core/_32562_/X (sky130_fd_sc_hd__clkbuf_4) + 0.28 19.95 ^ soc/core/output748/X (sky130_fd_sc_hd__clkbuf_4) + 0.38 20.34 ^ mgmt_buffers/input619/X (sky130_fd_sc_hd__buf_4) + 0.23 20.57 v mgmt_buffers/_394_/Y (sky130_fd_sc_hd__inv_2) + 0.10 20.67 ^ mgmt_buffers/mprj_stb_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 20.67 ^ mprj/wbs_stb_i (user_project_wrapper) + 20.67 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_we_i (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.49 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 2.02 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 1.67 18.62 ^ soc/core/_32603_/X (sky130_fd_sc_hd__mux2_8) + 0.60 19.21 ^ soc/core/output750/X (sky130_fd_sc_hd__clkbuf_4) + 0.30 19.51 ^ mgmt_buffers/input620/X (sky130_fd_sc_hd__clkbuf_2) + 0.30 19.80 v mgmt_buffers/_395_/Y (sky130_fd_sc_hd__clkinv_2) + 0.15 19.95 ^ mgmt_buffers/mprj_we_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 19.95 ^ mprj/wbs_we_i (user_project_wrapper) + 19.95 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_sel_i[3] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.21 13.80 v soc/core/_21986_/Y (sky130_fd_sc_hd__inv_2) + 0.16 13.96 ^ soc/core/_30484_/Y (sky130_fd_sc_hd__o2111ai_1) + 0.24 14.20 ^ soc/core/repeater2299/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 14.37 ^ soc/core/repeater2298/X (sky130_fd_sc_hd__clkbuf_1) + 0.22 14.59 ^ soc/core/repeater2297/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 14.77 ^ soc/core/repeater2296/X (sky130_fd_sc_hd__clkbuf_1) + 0.15 14.92 ^ soc/core/repeater2295/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 15.08 ^ soc/core/repeater2294/X (sky130_fd_sc_hd__clkbuf_1) + 0.15 15.23 ^ soc/core/repeater2293/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 15.39 ^ soc/core/repeater2292/X (sky130_fd_sc_hd__clkbuf_1) + 0.15 15.54 ^ soc/core/repeater2291/X (sky130_fd_sc_hd__clkbuf_1) + 0.15 15.69 ^ soc/core/repeater2290/X (sky130_fd_sc_hd__clkbuf_1) + 0.21 15.90 ^ soc/core/repeater2289/X (sky130_fd_sc_hd__clkbuf_1) + 0.22 16.12 ^ soc/core/repeater2288/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 16.31 ^ soc/core/repeater2177/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 16.49 ^ soc/core/repeater2176/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 16.68 ^ soc/core/repeater2175/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 16.88 ^ soc/core/repeater2174/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 17.06 ^ soc/core/repeater2173/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 17.24 ^ soc/core/repeater2172/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 17.42 ^ soc/core/repeater2171/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 17.61 ^ soc/core/repeater2170/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 17.81 ^ soc/core/repeater2169/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 18.00 ^ soc/core/repeater2168/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 18.18 ^ soc/core/repeater2167/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 18.38 ^ soc/core/repeater2166/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 18.57 ^ soc/core/repeater2165/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 18.76 ^ soc/core/repeater2164/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 18.94 ^ soc/core/repeater2163/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 19.12 ^ soc/core/repeater2162/X (sky130_fd_sc_hd__clkbuf_1) + 0.22 19.35 ^ soc/core/repeater2161/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 19.55 ^ soc/core/repeater2160/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 19.73 ^ soc/core/repeater2159/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 19.93 ^ soc/core/repeater2158/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 20.13 ^ soc/core/repeater2157/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 20.31 ^ soc/core/repeater2156/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 20.49 ^ soc/core/repeater2155/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 20.68 ^ soc/core/repeater2154/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 20.87 ^ soc/core/repeater2153/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 21.07 ^ soc/core/repeater2152/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 21.26 ^ soc/core/repeater2151/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 21.44 ^ soc/core/repeater2150/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 21.63 ^ soc/core/repeater2149/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 21.83 ^ soc/core/repeater2148/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 22.02 ^ soc/core/repeater2147/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 22.21 ^ soc/core/repeater2146/X (sky130_fd_sc_hd__clkbuf_1) + 0.23 22.44 ^ soc/core/repeater2145/X (sky130_fd_sc_hd__clkbuf_1) + 0.21 22.65 ^ soc/core/repeater2144/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 22.82 ^ soc/core/repeater2143/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 23.01 ^ soc/core/repeater2142/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 23.22 ^ soc/core/repeater2141/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 23.40 ^ soc/core/repeater2140/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 23.59 ^ soc/core/repeater2139/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 23.77 ^ soc/core/repeater2138/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 23.95 ^ soc/core/repeater2137/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 24.13 ^ soc/core/repeater2136/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 24.32 ^ soc/core/repeater2135/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 24.50 ^ soc/core/repeater2134/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 24.69 ^ soc/core/repeater2133/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 24.87 ^ soc/core/repeater2132/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 25.06 ^ soc/core/repeater2131/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 25.25 ^ soc/core/repeater2130/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 25.42 ^ soc/core/repeater2129/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 25.61 ^ soc/core/repeater2128/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 25.80 ^ soc/core/repeater2127/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 25.98 ^ soc/core/repeater2126/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 26.16 ^ soc/core/repeater2125/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 26.35 ^ soc/core/repeater2124/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 26.55 ^ soc/core/repeater2123/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 26.73 ^ soc/core/repeater2122/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 26.90 ^ soc/core/repeater2121/X (sky130_fd_sc_hd__clkbuf_1) + 0.23 27.13 ^ soc/core/output744/X (sky130_fd_sc_hd__clkbuf_4) + 0.36 27.49 ^ mgmt_buffers/input615/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.30 27.78 v mgmt_buffers/_396_/Y (sky130_fd_sc_hd__clkinv_2) + 0.12 27.91 ^ mgmt_buffers/mprj_sel_buf[0]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 27.91 ^ mprj/wbs_sel_i[3] (user_project_wrapper) + 27.91 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_sel_i[2] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.41 14.00 ^ soc/core/_17329_/Y (sky130_fd_sc_hd__nand3b_4) + 0.24 14.24 ^ soc/core/_30697_/Y (sky130_fd_sc_hd__o21bai_1) + 0.23 14.47 ^ soc/core/repeater2120/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 14.63 ^ soc/core/repeater2119/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 14.79 ^ soc/core/repeater2118/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 14.95 ^ soc/core/repeater2117/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 15.13 ^ soc/core/repeater2116/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 15.29 ^ soc/core/repeater2115/X (sky130_fd_sc_hd__clkbuf_1) + 0.15 15.44 ^ soc/core/repeater2114/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 15.59 ^ soc/core/repeater2113/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 15.75 ^ soc/core/repeater2112/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 15.91 ^ soc/core/repeater2111/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 16.11 ^ soc/core/repeater2110/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 16.30 ^ soc/core/repeater2022/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 16.50 ^ soc/core/repeater2021/X (sky130_fd_sc_hd__clkbuf_1) + 0.21 16.71 ^ soc/core/repeater2020/X (sky130_fd_sc_hd__clkbuf_1) + 0.22 16.93 ^ soc/core/repeater2019/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 17.12 ^ soc/core/repeater2018/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 17.32 ^ soc/core/repeater2017/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 17.52 ^ soc/core/repeater2016/X (sky130_fd_sc_hd__clkbuf_1) + 0.21 17.73 ^ soc/core/repeater2015/X (sky130_fd_sc_hd__clkbuf_1) + 0.21 17.93 ^ soc/core/repeater2014/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 18.13 ^ soc/core/repeater2013/X (sky130_fd_sc_hd__clkbuf_1) + 0.21 18.34 ^ soc/core/repeater2012/X (sky130_fd_sc_hd__clkbuf_1) + 0.22 18.56 ^ soc/core/repeater2011/X (sky130_fd_sc_hd__clkbuf_1) + 0.21 18.77 ^ soc/core/repeater2010/X (sky130_fd_sc_hd__clkbuf_1) + 0.22 18.99 ^ soc/core/repeater2009/X (sky130_fd_sc_hd__clkbuf_1) + 0.22 19.20 ^ soc/core/repeater2008/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 19.39 ^ soc/core/repeater2007/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 19.60 ^ soc/core/repeater2006/X (sky130_fd_sc_hd__clkbuf_1) + 0.22 19.81 ^ soc/core/repeater2005/X (sky130_fd_sc_hd__clkbuf_1) + 0.21 20.02 ^ soc/core/repeater2004/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 20.23 ^ soc/core/repeater2003/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 20.43 ^ soc/core/repeater2002/X (sky130_fd_sc_hd__clkbuf_1) + 0.22 20.65 ^ soc/core/repeater2001/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 20.85 ^ soc/core/repeater2000/X (sky130_fd_sc_hd__clkbuf_1) + 0.21 21.06 ^ soc/core/repeater1999/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 21.26 ^ soc/core/repeater1998/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 21.46 ^ soc/core/repeater1997/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 21.67 ^ soc/core/repeater1996/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 21.87 ^ soc/core/repeater1995/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 22.07 ^ soc/core/repeater1994/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 22.26 ^ soc/core/repeater1993/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 22.46 ^ soc/core/repeater1992/X (sky130_fd_sc_hd__clkbuf_1) + 0.21 22.67 ^ soc/core/repeater1991/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 22.88 ^ soc/core/repeater1990/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 23.08 ^ soc/core/repeater1989/X (sky130_fd_sc_hd__clkbuf_1) + 0.22 23.29 ^ soc/core/repeater1988/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 23.50 ^ soc/core/repeater1987/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 23.70 ^ soc/core/repeater1986/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 23.90 ^ soc/core/repeater1985/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 24.10 ^ soc/core/repeater1984/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 24.29 ^ soc/core/repeater1983/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 24.48 ^ soc/core/repeater1982/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 24.68 ^ soc/core/repeater1981/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 24.87 ^ soc/core/repeater1980/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 25.05 ^ soc/core/repeater1979/X (sky130_fd_sc_hd__clkbuf_1) + 0.24 25.29 ^ soc/core/output745/X (sky130_fd_sc_hd__clkbuf_4) + 0.29 25.58 ^ mgmt_buffers/input616/X (sky130_fd_sc_hd__clkbuf_2) + 0.17 25.74 v mgmt_buffers/_397_/Y (sky130_fd_sc_hd__inv_2) + 0.09 25.84 ^ mgmt_buffers/mprj_sel_buf[1]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 25.84 ^ mprj/wbs_sel_i[2] (user_project_wrapper) + 25.84 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_37442_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_sel_i[0] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.71 ^ soc/core/_37442_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.90 13.61 ^ soc/core/_37442_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.93 14.53 v soc/core/_33546_/X (sky130_fd_sc_hd__mux2_2) + 0.51 15.04 v soc/core/_30699_/X (sky130_fd_sc_hd__and4_1) + 0.08 15.12 ^ soc/core/_30700_/Y (sky130_fd_sc_hd__inv_2) + 0.14 15.27 ^ soc/core/repeater1977/X (sky130_fd_sc_hd__clkbuf_1) + 0.21 15.48 ^ soc/core/repeater1976/X (sky130_fd_sc_hd__clkbuf_1) + 0.24 15.72 ^ soc/core/repeater1975/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 15.91 ^ soc/core/repeater1974/X (sky130_fd_sc_hd__clkbuf_1) + 0.21 16.12 ^ soc/core/repeater1973/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 16.30 ^ soc/core/repeater1972/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 16.46 ^ soc/core/repeater1971/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 16.64 ^ soc/core/repeater1970/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 16.84 ^ soc/core/repeater1969/X (sky130_fd_sc_hd__clkbuf_1) + 0.23 17.07 ^ soc/core/repeater1968/X (sky130_fd_sc_hd__clkbuf_1) + 0.21 17.27 ^ soc/core/repeater1967/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 17.48 ^ soc/core/repeater1966/X (sky130_fd_sc_hd__clkbuf_1) + 0.22 17.70 ^ soc/core/repeater1965/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 17.88 ^ soc/core/repeater1964/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 18.05 ^ soc/core/repeater1963/X (sky130_fd_sc_hd__clkbuf_1) + 0.34 18.39 ^ soc/core/repeater1962/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.42 18.81 ^ soc/core/repeater1960/X (sky130_fd_sc_hd__clkbuf_2) + 0.39 19.21 ^ soc/core/repeater1959/X (sky130_fd_sc_hd__clkbuf_2) + 0.41 19.61 ^ soc/core/repeater1958/X (sky130_fd_sc_hd__clkbuf_2) + 0.41 20.03 ^ soc/core/repeater1957/X (sky130_fd_sc_hd__clkbuf_2) + 0.43 20.46 ^ soc/core/repeater1956/X (sky130_fd_sc_hd__clkbuf_2) + 0.33 20.78 ^ soc/core/output747/X (sky130_fd_sc_hd__clkbuf_4) + 0.29 21.07 ^ mgmt_buffers/input618/X (sky130_fd_sc_hd__clkbuf_2) + 0.25 21.32 v mgmt_buffers/_399_/Y (sky130_fd_sc_hd__clkinv_2) + 0.13 21.44 ^ mgmt_buffers/mprj_sel_buf[3]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 21.44 ^ mprj/wbs_sel_i[0] (user_project_wrapper) + 21.44 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_37505_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_sel_i[1] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.72 ^ soc/core/_37505_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.79 13.51 ^ soc/core/_37505_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.18 13.69 v soc/core/_30695_/Y (sky130_fd_sc_hd__nand2_1) + 0.73 14.42 v soc/core/_33547_/X (sky130_fd_sc_hd__mux2_1) + 0.71 15.13 v soc/core/_33548_/X (sky130_fd_sc_hd__mux2_2) + 0.50 15.63 v soc/core/_30691_/X (sky130_fd_sc_hd__and4_1) + 0.10 15.73 ^ soc/core/_30692_/Y (sky130_fd_sc_hd__inv_2) + 0.15 15.88 ^ soc/core/repeater867/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 16.05 ^ soc/core/repeater866/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 16.22 ^ soc/core/repeater865/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 16.39 ^ soc/core/repeater864/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 16.55 ^ soc/core/repeater863/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 16.74 ^ soc/core/repeater862/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 16.93 ^ soc/core/repeater861/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 17.12 ^ soc/core/repeater860/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 17.32 ^ soc/core/repeater859/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 17.49 ^ soc/core/repeater858/X (sky130_fd_sc_hd__clkbuf_1) + 0.15 17.64 ^ soc/core/repeater857/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 17.83 ^ soc/core/repeater856/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 18.00 ^ soc/core/repeater855/X (sky130_fd_sc_hd__clkbuf_1) + 0.29 18.29 ^ soc/core/repeater854/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.40 18.69 ^ soc/core/repeater852/X (sky130_fd_sc_hd__clkbuf_2) + 0.43 19.11 ^ soc/core/repeater851/X (sky130_fd_sc_hd__clkbuf_2) + 0.43 19.55 ^ soc/core/repeater850/X (sky130_fd_sc_hd__clkbuf_2) + 0.42 19.97 ^ soc/core/repeater849/X (sky130_fd_sc_hd__clkbuf_2) + 0.40 20.37 ^ soc/core/repeater848/X (sky130_fd_sc_hd__clkbuf_2) + 0.30 20.67 ^ soc/core/output746/X (sky130_fd_sc_hd__clkbuf_4) + 0.29 20.96 ^ mgmt_buffers/input617/X (sky130_fd_sc_hd__clkbuf_2) + 0.25 21.21 v mgmt_buffers/_398_/Y (sky130_fd_sc_hd__clkinv_2) + 0.12 21.33 ^ mgmt_buffers/mprj_sel_buf[2]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 21.33 ^ mprj/wbs_sel_i[1] (user_project_wrapper) + 21.33 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[21] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.49 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 2.02 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.90 17.85 ^ soc/core/_32604_/X (sky130_fd_sc_hd__mux2_1) + 0.20 18.06 ^ soc/core/repeater1945/X (sky130_fd_sc_hd__clkbuf_1) + 0.23 18.28 ^ soc/core/repeater1944/X (sky130_fd_sc_hd__clkbuf_1) + 0.24 18.52 ^ soc/core/repeater1943/X (sky130_fd_sc_hd__clkbuf_1) + 0.24 18.76 ^ soc/core/repeater1942/X (sky130_fd_sc_hd__clkbuf_1) + 0.23 18.99 ^ soc/core/repeater1941/X (sky130_fd_sc_hd__clkbuf_1) + 0.23 19.22 ^ soc/core/repeater1940/X (sky130_fd_sc_hd__clkbuf_1) + 0.23 19.45 ^ soc/core/repeater1939/X (sky130_fd_sc_hd__clkbuf_1) + 0.23 19.68 ^ soc/core/repeater1938/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 19.86 ^ soc/core/repeater1937/X (sky130_fd_sc_hd__clkbuf_1) + 0.15 20.01 ^ soc/core/repeater1936/X (sky130_fd_sc_hd__clkbuf_1) + 0.15 20.16 ^ soc/core/repeater1935/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 20.32 ^ soc/core/repeater1934/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 20.48 ^ soc/core/repeater1933/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 20.65 ^ soc/core/repeater1932/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 20.81 ^ soc/core/repeater1931/X (sky130_fd_sc_hd__clkbuf_1) + 0.15 20.96 ^ soc/core/repeater1930/X (sky130_fd_sc_hd__clkbuf_1) + 0.15 21.11 ^ soc/core/repeater1929/X (sky130_fd_sc_hd__clkbuf_1) + 0.15 21.26 ^ soc/core/repeater1928/X (sky130_fd_sc_hd__clkbuf_1) + 0.15 21.40 ^ soc/core/repeater1927/X (sky130_fd_sc_hd__clkbuf_1) + 0.15 21.55 ^ soc/core/repeater1926/X (sky130_fd_sc_hd__clkbuf_1) + 0.15 21.70 ^ soc/core/repeater1925/X (sky130_fd_sc_hd__clkbuf_1) + 0.15 21.85 ^ soc/core/repeater1924/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 22.01 ^ soc/core/repeater1923/X (sky130_fd_sc_hd__clkbuf_1) + 0.15 22.16 ^ soc/core/repeater1922/X (sky130_fd_sc_hd__clkbuf_1) + 0.15 22.31 ^ soc/core/repeater1921/X (sky130_fd_sc_hd__clkbuf_1) + 0.15 22.46 ^ soc/core/repeater1920/X (sky130_fd_sc_hd__clkbuf_1) + 0.15 22.60 ^ soc/core/repeater1919/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 22.76 ^ soc/core/repeater1918/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 22.93 ^ soc/core/repeater1917/X (sky130_fd_sc_hd__clkbuf_1) + 0.15 23.08 ^ soc/core/repeater1916/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 23.24 ^ soc/core/repeater1915/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 23.41 ^ soc/core/repeater1914/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 23.59 ^ soc/core/repeater1913/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 23.77 ^ soc/core/repeater1912/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 23.98 ^ soc/core/repeater1911/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 24.15 ^ soc/core/repeater1910/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 24.31 ^ soc/core/repeater1909/X (sky130_fd_sc_hd__clkbuf_1) + 0.15 24.46 ^ soc/core/repeater1908/X (sky130_fd_sc_hd__clkbuf_1) + 0.15 24.62 ^ soc/core/repeater1907/X (sky130_fd_sc_hd__clkbuf_1) + 0.23 24.85 ^ soc/core/repeater1906/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 25.06 ^ soc/core/repeater1905/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 25.22 ^ soc/core/repeater1904/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 25.40 ^ soc/core/repeater1903/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 25.57 ^ soc/core/repeater1902/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 25.75 ^ soc/core/repeater1901/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 25.91 ^ soc/core/repeater1900/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 26.07 ^ soc/core/repeater1899/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 26.24 ^ soc/core/repeater1898/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 26.40 ^ soc/core/repeater1897/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 26.57 ^ soc/core/repeater1896/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 26.73 ^ soc/core/repeater1895/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 26.92 ^ soc/core/repeater1894/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 27.10 ^ soc/core/repeater1893/X (sky130_fd_sc_hd__clkbuf_1) + 0.22 27.32 ^ soc/core/repeater1892/X (sky130_fd_sc_hd__clkbuf_1) + 0.24 27.56 ^ soc/core/repeater1891/X (sky130_fd_sc_hd__clkbuf_1) + 0.28 27.84 ^ soc/core/repeater1841/X (sky130_fd_sc_hd__clkbuf_1) + 0.31 28.15 ^ soc/core/repeater1840/X (sky130_fd_sc_hd__clkbuf_1) + 0.30 28.45 ^ soc/core/repeater1839/X (sky130_fd_sc_hd__clkbuf_1) + 0.31 28.76 ^ soc/core/repeater1838/X (sky130_fd_sc_hd__clkbuf_1) + 0.31 29.07 ^ soc/core/repeater1837/X (sky130_fd_sc_hd__clkbuf_1) + 0.32 29.39 ^ soc/core/repeater1836/X (sky130_fd_sc_hd__clkbuf_1) + 0.34 29.72 ^ soc/core/repeater1835/X (sky130_fd_sc_hd__clkbuf_1) + 0.35 30.08 ^ soc/core/repeater1834/X (sky130_fd_sc_hd__clkbuf_1) + 0.31 30.39 ^ soc/core/repeater1833/X (sky130_fd_sc_hd__clkbuf_1) + 0.28 30.68 ^ soc/core/output681/X (sky130_fd_sc_hd__clkbuf_4) + 0.41 31.09 ^ mgmt_buffers/input518/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.33 31.42 v mgmt_buffers/_410_/Y (sky130_fd_sc_hd__inv_2) + 0.14 31.57 ^ mgmt_buffers/mprj_adr_buf[10]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 31.57 ^ mprj/wbs_adr_i[21] (user_project_wrapper) + 31.57 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[22] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.49 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 2.02 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 1.30 18.24 v soc/core/_33549_/X (sky130_fd_sc_hd__mux2_4) + 0.13 18.37 ^ soc/core/_17681_/Y (sky130_fd_sc_hd__inv_2) + 0.23 18.60 ^ soc/core/repeater1355/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 18.79 ^ soc/core/repeater1354/X (sky130_fd_sc_hd__clkbuf_1) + 0.21 19.00 ^ soc/core/repeater1353/X (sky130_fd_sc_hd__clkbuf_1) + 0.24 19.24 ^ soc/core/repeater1352/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 19.42 ^ soc/core/repeater1351/X (sky130_fd_sc_hd__clkbuf_1) + 0.15 19.57 ^ soc/core/repeater1350/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 19.75 ^ soc/core/repeater1349/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 19.93 ^ soc/core/repeater1348/X (sky130_fd_sc_hd__clkbuf_1) + 0.23 20.16 ^ soc/core/repeater1347/X (sky130_fd_sc_hd__clkbuf_1) + 0.21 20.37 ^ soc/core/repeater1346/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 20.53 ^ soc/core/repeater1345/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 20.69 ^ soc/core/repeater1344/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 20.85 ^ soc/core/repeater1343/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 21.02 ^ soc/core/repeater1342/X (sky130_fd_sc_hd__clkbuf_1) + 0.23 21.24 ^ soc/core/repeater1341/X (sky130_fd_sc_hd__clkbuf_1) + 0.24 21.48 ^ soc/core/repeater1340/X (sky130_fd_sc_hd__clkbuf_1) + 0.21 21.69 ^ soc/core/repeater1339/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 21.86 ^ soc/core/repeater1338/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 22.02 ^ soc/core/repeater1337/X (sky130_fd_sc_hd__clkbuf_1) + 0.22 22.24 ^ soc/core/repeater1336/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 22.43 ^ soc/core/repeater1335/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 22.59 ^ soc/core/repeater1334/X (sky130_fd_sc_hd__clkbuf_1) + 0.15 22.74 ^ soc/core/repeater1333/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 22.90 ^ soc/core/repeater1332/X (sky130_fd_sc_hd__clkbuf_1) + 0.22 23.11 ^ soc/core/repeater1331/X (sky130_fd_sc_hd__clkbuf_1) + 0.24 23.36 ^ soc/core/repeater1330/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 23.55 ^ soc/core/repeater1329/X (sky130_fd_sc_hd__clkbuf_1) + 0.22 23.77 ^ soc/core/repeater1328/X (sky130_fd_sc_hd__clkbuf_1) + 0.23 24.00 ^ soc/core/repeater1327/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 24.20 ^ soc/core/repeater1326/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 24.38 ^ soc/core/repeater1325/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 24.59 ^ soc/core/repeater1324/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 24.77 ^ soc/core/repeater1323/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 24.94 ^ soc/core/repeater1322/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 25.09 ^ soc/core/repeater1321/X (sky130_fd_sc_hd__clkbuf_1) + 0.23 25.32 ^ soc/core/repeater1320/X (sky130_fd_sc_hd__clkbuf_1) + 0.25 25.57 ^ soc/core/repeater1319/X (sky130_fd_sc_hd__clkbuf_1) + 0.30 25.87 ^ soc/core/repeater1318/X (sky130_fd_sc_hd__clkbuf_1) + 0.32 26.19 ^ soc/core/repeater1317/X (sky130_fd_sc_hd__clkbuf_1) + 0.33 26.52 ^ soc/core/repeater1316/X (sky130_fd_sc_hd__clkbuf_1) + 0.33 26.85 ^ soc/core/repeater1315/X (sky130_fd_sc_hd__clkbuf_1) + 0.31 27.16 ^ soc/core/repeater1314/X (sky130_fd_sc_hd__clkbuf_1) + 0.24 27.40 ^ soc/core/repeater1313/X (sky130_fd_sc_hd__clkbuf_1) + 0.29 27.68 ^ soc/core/repeater1312/X (sky130_fd_sc_hd__clkbuf_1) + 0.33 28.01 ^ soc/core/repeater1311/X (sky130_fd_sc_hd__clkbuf_1) + 0.31 28.32 ^ soc/core/repeater1310/X (sky130_fd_sc_hd__clkbuf_1) + 0.33 28.65 ^ soc/core/repeater1309/X (sky130_fd_sc_hd__clkbuf_1) + 0.24 28.89 ^ soc/core/_32529_/X (sky130_fd_sc_hd__clkbuf_1) + 0.24 29.14 ^ soc/core/output710/X (sky130_fd_sc_hd__clkbuf_4) + 0.29 29.43 ^ mgmt_buffers/input548/X (sky130_fd_sc_hd__clkbuf_2) + 0.25 29.68 v mgmt_buffers/_409_/Y (sky130_fd_sc_hd__inv_8) + 0.16 29.84 ^ mgmt_buffers/mprj_adr_buf[9]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 29.84 ^ mprj/wbs_adr_i[22] (user_project_wrapper) + 29.84 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[27] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.49 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 2.02 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 1.64 18.58 v soc/core/_33554_/X (sky130_fd_sc_hd__mux2_8) + 0.19 18.77 ^ soc/core/_17321_/Y (sky130_fd_sc_hd__inv_2) + 0.19 18.96 ^ soc/core/repeater1831/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 19.14 ^ soc/core/repeater1830/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 19.31 ^ soc/core/repeater1829/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 19.50 ^ soc/core/repeater1828/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 19.67 ^ soc/core/repeater1827/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 19.85 ^ soc/core/repeater1826/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 20.04 ^ soc/core/repeater1825/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 20.23 ^ soc/core/repeater1824/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 20.41 ^ soc/core/repeater1823/X (sky130_fd_sc_hd__clkbuf_1) + 0.21 20.62 ^ soc/core/repeater1822/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 20.82 ^ soc/core/repeater1820/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 21.00 ^ soc/core/repeater1819/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 21.16 ^ soc/core/repeater1818/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 21.33 ^ soc/core/repeater1817/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 21.50 ^ soc/core/repeater1816/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 21.70 ^ soc/core/repeater1815/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 21.87 ^ soc/core/repeater1814/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 22.03 ^ soc/core/repeater1813/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 22.20 ^ soc/core/repeater1812/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 22.39 ^ soc/core/repeater1811/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 22.57 ^ soc/core/repeater1810/X (sky130_fd_sc_hd__clkbuf_1) + 0.32 22.89 ^ soc/core/repeater1809/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.38 23.27 ^ soc/core/repeater1808/X (sky130_fd_sc_hd__clkbuf_1) + 0.41 23.67 ^ soc/core/repeater1807/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.41 24.09 ^ soc/core/repeater1806/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.40 24.49 ^ soc/core/repeater1805/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.45 24.93 ^ soc/core/repeater1804/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.44 25.37 ^ soc/core/repeater1803/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.24 25.61 ^ soc/core/repeater1802/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 25.77 ^ soc/core/repeater1801/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 25.94 ^ soc/core/repeater1800/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 26.11 ^ soc/core/repeater1799/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 26.29 ^ soc/core/repeater1798/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 26.47 ^ soc/core/repeater1797/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 26.63 ^ soc/core/repeater1796/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 26.79 ^ soc/core/repeater1795/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 26.95 ^ soc/core/repeater1794/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 27.12 ^ soc/core/repeater1793/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 27.28 ^ soc/core/repeater1792/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 27.44 ^ soc/core/repeater1791/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 27.61 ^ soc/core/repeater1790/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 27.78 ^ soc/core/repeater1789/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 27.94 ^ soc/core/repeater1788/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 28.10 ^ soc/core/repeater1787/X (sky130_fd_sc_hd__clkbuf_1) + 0.24 28.34 ^ soc/core/_32524_/X (sky130_fd_sc_hd__clkbuf_2) + 0.29 28.64 ^ soc/core/output705/X (sky130_fd_sc_hd__clkbuf_4) + 0.43 29.07 ^ mgmt_buffers/input543/X (sky130_fd_sc_hd__buf_12) + 0.34 29.41 v mgmt_buffers/_404_/Y (sky130_fd_sc_hd__inv_2) + 0.13 29.54 ^ mgmt_buffers/mprj_adr_buf[4]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 29.54 ^ mprj/wbs_adr_i[27] (user_project_wrapper) + 29.54 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[23] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.49 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 2.02 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 1.31 18.26 v soc/core/_33550_/X (sky130_fd_sc_hd__mux2_4) + 0.13 18.39 ^ soc/core/_17682_/Y (sky130_fd_sc_hd__inv_2) + 0.24 18.64 ^ soc/core/repeater1242/X (sky130_fd_sc_hd__clkbuf_1) + 0.30 18.94 ^ soc/core/repeater1241/X (sky130_fd_sc_hd__clkbuf_1) + 0.28 19.21 ^ soc/core/repeater1240/X (sky130_fd_sc_hd__clkbuf_1) + 0.25 19.46 ^ soc/core/repeater1239/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 19.65 ^ soc/core/repeater1238/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 19.85 ^ soc/core/repeater1237/X (sky130_fd_sc_hd__clkbuf_1) + 0.23 20.09 ^ soc/core/repeater1236/X (sky130_fd_sc_hd__clkbuf_1) + 0.21 20.30 ^ soc/core/repeater1235/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 20.49 ^ soc/core/repeater1234/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 20.68 ^ soc/core/repeater1233/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 20.85 ^ soc/core/repeater1232/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 21.01 ^ soc/core/repeater1231/X (sky130_fd_sc_hd__clkbuf_1) + 0.21 21.22 ^ soc/core/repeater1230/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 21.40 ^ soc/core/repeater1229/X (sky130_fd_sc_hd__clkbuf_1) + 0.15 21.55 ^ soc/core/repeater1228/X (sky130_fd_sc_hd__clkbuf_1) + 0.23 21.78 ^ soc/core/repeater1227/X (sky130_fd_sc_hd__clkbuf_1) + 0.24 22.02 ^ soc/core/repeater1226/X (sky130_fd_sc_hd__clkbuf_1) + 0.24 22.26 ^ soc/core/repeater1225/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 22.46 ^ soc/core/repeater1224/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 22.62 ^ soc/core/repeater1223/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 22.80 ^ soc/core/repeater1222/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 22.98 ^ soc/core/repeater1221/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 23.16 ^ soc/core/repeater1220/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 23.33 ^ soc/core/repeater1219/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 23.51 ^ soc/core/repeater1218/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 23.68 ^ soc/core/repeater1217/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 23.84 ^ soc/core/repeater1216/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 24.02 ^ soc/core/repeater1215/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 24.19 ^ soc/core/repeater1214/X (sky130_fd_sc_hd__clkbuf_1) + 0.29 24.48 ^ soc/core/repeater1213/X (sky130_fd_sc_hd__clkbuf_1) + 0.34 24.82 ^ soc/core/repeater1211/X (sky130_fd_sc_hd__clkbuf_1) + 0.33 25.15 ^ soc/core/repeater1210/X (sky130_fd_sc_hd__clkbuf_1) + 0.32 25.47 ^ soc/core/repeater1209/X (sky130_fd_sc_hd__clkbuf_1) + 0.33 25.80 ^ soc/core/repeater1208/X (sky130_fd_sc_hd__clkbuf_1) + 0.34 26.15 ^ soc/core/repeater1207/X (sky130_fd_sc_hd__clkbuf_1) + 0.24 26.38 ^ soc/core/repeater1206/X (sky130_fd_sc_hd__clkbuf_1) + 0.21 26.60 ^ soc/core/repeater1205/X (sky130_fd_sc_hd__clkbuf_1) + 0.25 26.84 ^ soc/core/repeater1204/X (sky130_fd_sc_hd__clkbuf_1) + 0.23 27.07 ^ soc/core/repeater1203/X (sky130_fd_sc_hd__clkbuf_1) + 0.22 27.29 ^ soc/core/repeater1202/X (sky130_fd_sc_hd__clkbuf_1) + 0.23 27.53 ^ soc/core/repeater1201/X (sky130_fd_sc_hd__clkbuf_1) + 0.25 27.78 ^ soc/core/repeater1200/X (sky130_fd_sc_hd__clkbuf_1) + 0.27 28.05 ^ soc/core/repeater1199/X (sky130_fd_sc_hd__clkbuf_1) + 0.21 28.25 ^ soc/core/_32528_/X (sky130_fd_sc_hd__clkbuf_1) + 0.24 28.49 ^ soc/core/output709/X (sky130_fd_sc_hd__clkbuf_4) + 0.37 28.86 ^ mgmt_buffers/input547/X (sky130_fd_sc_hd__clkbuf_4) + 0.30 29.16 v mgmt_buffers/_408_/Y (sky130_fd_sc_hd__clkinv_16) + 0.24 29.40 ^ mgmt_buffers/mprj_adr_buf[8]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 29.40 ^ mprj/wbs_adr_i[23] (user_project_wrapper) + 29.40 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[24] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.49 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 2.02 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 1.61 18.55 v soc/core/_33551_/X (sky130_fd_sc_hd__mux2_8) + 0.16 18.71 ^ soc/core/_19668_/Y (sky130_fd_sc_hd__inv_2) + 0.18 18.89 ^ soc/core/repeater1023/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 19.07 ^ soc/core/repeater1022/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 19.28 ^ soc/core/repeater1021/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 19.45 ^ soc/core/repeater1020/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 19.61 ^ soc/core/repeater1019/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 19.79 ^ soc/core/repeater1018/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 19.97 ^ soc/core/repeater1017/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 20.15 ^ soc/core/repeater1016/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 20.32 ^ soc/core/repeater1015/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 20.49 ^ soc/core/repeater1014/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 20.68 ^ soc/core/repeater1013/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 20.86 ^ soc/core/repeater1012/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 21.03 ^ soc/core/repeater1011/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 21.21 ^ soc/core/repeater1010/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 21.40 ^ soc/core/repeater1009/X (sky130_fd_sc_hd__clkbuf_1) + 0.21 21.61 ^ soc/core/repeater1008/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 21.80 ^ soc/core/repeater1007/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 21.98 ^ soc/core/repeater1006/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 22.15 ^ soc/core/repeater1005/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 22.34 ^ soc/core/repeater1004/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 22.53 ^ soc/core/repeater1003/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 22.70 ^ soc/core/repeater1002/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 22.86 ^ soc/core/repeater1001/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 23.03 ^ soc/core/repeater1000/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 23.21 ^ soc/core/repeater999/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 23.38 ^ soc/core/repeater998/X (sky130_fd_sc_hd__clkbuf_1) + 0.28 23.67 ^ soc/core/repeater997/X (sky130_fd_sc_hd__clkbuf_1) + 0.33 23.99 ^ soc/core/repeater948/X (sky130_fd_sc_hd__clkbuf_1) + 0.30 24.29 ^ soc/core/repeater947/X (sky130_fd_sc_hd__clkbuf_1) + 0.32 24.61 ^ soc/core/repeater946/X (sky130_fd_sc_hd__clkbuf_1) + 0.33 24.94 ^ soc/core/repeater945/X (sky130_fd_sc_hd__clkbuf_1) + 0.31 25.25 ^ soc/core/repeater944/X (sky130_fd_sc_hd__clkbuf_1) + 0.27 25.52 ^ soc/core/repeater943/X (sky130_fd_sc_hd__clkbuf_1) + 0.25 25.76 ^ soc/core/repeater942/X (sky130_fd_sc_hd__clkbuf_1) + 0.27 26.03 ^ soc/core/repeater941/X (sky130_fd_sc_hd__clkbuf_1) + 0.24 26.27 ^ soc/core/repeater940/X (sky130_fd_sc_hd__clkbuf_1) + 0.23 26.50 ^ soc/core/repeater939/X (sky130_fd_sc_hd__clkbuf_1) + 0.23 26.73 ^ soc/core/repeater938/X (sky130_fd_sc_hd__clkbuf_1) + 0.24 26.97 ^ soc/core/repeater937/X (sky130_fd_sc_hd__clkbuf_1) + 0.23 27.20 ^ soc/core/repeater936/X (sky130_fd_sc_hd__clkbuf_1) + 0.21 27.42 ^ soc/core/_32527_/X (sky130_fd_sc_hd__clkbuf_1) + 0.27 27.68 ^ soc/core/output708/X (sky130_fd_sc_hd__clkbuf_4) + 0.31 27.99 ^ mgmt_buffers/input546/X (sky130_fd_sc_hd__clkbuf_2) + 0.25 28.24 v mgmt_buffers/_407_/Y (sky130_fd_sc_hd__inv_8) + 0.19 28.43 ^ mgmt_buffers/mprj_adr_buf[7]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 28.43 ^ mprj/wbs_adr_i[24] (user_project_wrapper) + 28.43 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[29] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.49 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 2.02 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 1.63 18.57 v soc/core/_33556_/X (sky130_fd_sc_hd__mux2_8) + 0.17 18.74 ^ soc/core/_17680_/Y (sky130_fd_sc_hd__inv_2) + 0.17 18.92 ^ soc/core/repeater1465/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 19.09 ^ soc/core/repeater1464/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 19.25 ^ soc/core/repeater1463/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 19.45 ^ soc/core/repeater1462/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 19.64 ^ soc/core/repeater1461/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 19.81 ^ soc/core/repeater1460/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 19.98 ^ soc/core/repeater1459/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 20.15 ^ soc/core/repeater1458/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 20.32 ^ soc/core/repeater1457/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 20.49 ^ soc/core/repeater1456/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 20.66 ^ soc/core/repeater1455/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 20.82 ^ soc/core/repeater1454/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 20.99 ^ soc/core/repeater1453/X (sky130_fd_sc_hd__clkbuf_1) + 0.22 21.21 ^ soc/core/repeater1452/X (sky130_fd_sc_hd__clkbuf_1) + 0.24 21.45 ^ soc/core/repeater1450/X (sky130_fd_sc_hd__clkbuf_1) + 0.23 21.68 ^ soc/core/repeater1449/X (sky130_fd_sc_hd__clkbuf_1) + 0.24 21.92 ^ soc/core/repeater1448/X (sky130_fd_sc_hd__clkbuf_1) + 0.22 22.14 ^ soc/core/repeater1447/X (sky130_fd_sc_hd__clkbuf_1) + 0.22 22.36 ^ soc/core/repeater1446/X (sky130_fd_sc_hd__clkbuf_1) + 0.21 22.57 ^ soc/core/repeater1445/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 22.77 ^ soc/core/repeater1444/X (sky130_fd_sc_hd__clkbuf_1) + 0.21 22.98 ^ soc/core/repeater1443/X (sky130_fd_sc_hd__clkbuf_1) + 0.22 23.20 ^ soc/core/repeater1442/X (sky130_fd_sc_hd__clkbuf_1) + 0.22 23.42 ^ soc/core/repeater1441/X (sky130_fd_sc_hd__clkbuf_1) + 0.23 23.65 ^ soc/core/repeater1440/X (sky130_fd_sc_hd__clkbuf_1) + 0.26 23.91 ^ soc/core/repeater1439/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 24.11 ^ soc/core/repeater1438/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 24.29 ^ soc/core/repeater1437/X (sky130_fd_sc_hd__clkbuf_1) + 0.21 24.50 ^ soc/core/repeater1436/X (sky130_fd_sc_hd__clkbuf_1) + 0.21 24.72 ^ soc/core/repeater1435/X (sky130_fd_sc_hd__clkbuf_1) + 0.22 24.94 ^ soc/core/repeater1434/X (sky130_fd_sc_hd__clkbuf_1) + 0.21 25.15 ^ soc/core/repeater1433/X (sky130_fd_sc_hd__clkbuf_1) + 0.22 25.37 ^ soc/core/repeater1432/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 25.57 ^ soc/core/repeater1431/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 25.75 ^ soc/core/_32522_/X (sky130_fd_sc_hd__clkbuf_1) + 0.28 26.03 ^ soc/core/output701/X (sky130_fd_sc_hd__clkbuf_4) + 0.42 26.45 ^ mgmt_buffers/input539/X (sky130_fd_sc_hd__buf_12) + 0.32 26.77 v mgmt_buffers/_402_/Y (sky130_fd_sc_hd__inv_2) + 0.13 26.90 ^ mgmt_buffers/mprj_adr_buf[2]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 26.90 ^ mprj/wbs_adr_i[29] (user_project_wrapper) + 26.90 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[26] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.49 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 2.02 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 1.72 18.67 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 0.31 18.98 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 0.18 19.16 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 19.32 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 19.50 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 19.68 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 19.88 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 20.04 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 20.20 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 20.36 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 20.52 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 20.69 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 20.87 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 21.04 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 21.21 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 21.37 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 0.22 21.58 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 0.41 21.99 ^ soc/core/repeater1617/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.46 22.46 ^ soc/core/repeater1616/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.46 22.92 ^ soc/core/repeater1615/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.45 23.37 ^ soc/core/repeater1614/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.26 23.63 ^ soc/core/repeater1613/X (sky130_fd_sc_hd__clkbuf_1) + 0.21 23.83 ^ soc/core/repeater1612/X (sky130_fd_sc_hd__clkbuf_1) + 0.23 24.06 ^ soc/core/repeater1611/X (sky130_fd_sc_hd__clkbuf_1) + 0.24 24.29 ^ soc/core/repeater1610/X (sky130_fd_sc_hd__clkbuf_1) + 0.23 24.53 ^ soc/core/repeater1609/X (sky130_fd_sc_hd__clkbuf_1) + 0.23 24.75 ^ soc/core/repeater1608/X (sky130_fd_sc_hd__clkbuf_1) + 0.23 24.99 ^ soc/core/repeater1607/X (sky130_fd_sc_hd__clkbuf_1) + 0.23 25.22 ^ soc/core/repeater1606/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 25.41 ^ soc/core/_32525_/X (sky130_fd_sc_hd__clkbuf_1) + 0.25 25.66 ^ soc/core/output706/X (sky130_fd_sc_hd__clkbuf_4) + 0.36 26.02 ^ mgmt_buffers/input544/X (sky130_fd_sc_hd__clkbuf_4) + 0.18 26.20 v mgmt_buffers/_405_/Y (sky130_fd_sc_hd__inv_16) + 0.22 26.42 ^ mgmt_buffers/mprj_adr_buf[5]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 26.42 ^ mprj/wbs_adr_i[26] (user_project_wrapper) + 26.42 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[25] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.49 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 2.02 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 1.58 18.53 v soc/core/_33552_/X (sky130_fd_sc_hd__mux2_8) + 0.18 18.71 ^ soc/core/_19671_/Y (sky130_fd_sc_hd__inv_2) + 0.17 18.88 ^ soc/core/repeater935/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 19.07 ^ soc/core/repeater934/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 19.24 ^ soc/core/repeater933/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 19.42 ^ soc/core/repeater932/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 19.61 ^ soc/core/repeater931/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 19.79 ^ soc/core/repeater930/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 19.95 ^ soc/core/repeater929/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 20.11 ^ soc/core/repeater928/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 20.28 ^ soc/core/repeater927/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 20.47 ^ soc/core/repeater926/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 20.64 ^ soc/core/repeater925/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 20.81 ^ soc/core/repeater924/X (sky130_fd_sc_hd__clkbuf_1) + 0.15 20.95 ^ soc/core/repeater923/X (sky130_fd_sc_hd__clkbuf_1) + 0.32 21.27 ^ soc/core/repeater922/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.35 21.62 ^ soc/core/repeater921/X (sky130_fd_sc_hd__clkbuf_2) + 0.33 21.95 ^ soc/core/repeater879/X (sky130_fd_sc_hd__clkbuf_2) + 0.34 22.28 ^ soc/core/repeater878/X (sky130_fd_sc_hd__clkbuf_2) + 0.36 22.65 ^ soc/core/repeater877/X (sky130_fd_sc_hd__clkbuf_2) + 0.39 23.03 ^ soc/core/repeater876/X (sky130_fd_sc_hd__clkbuf_2) + 0.38 23.41 ^ soc/core/repeater875/X (sky130_fd_sc_hd__clkbuf_2) + 0.27 23.68 ^ soc/core/repeater874/X (sky130_fd_sc_hd__clkbuf_1) + 0.22 23.90 ^ soc/core/repeater873/X (sky130_fd_sc_hd__clkbuf_1) + 0.23 24.13 ^ soc/core/repeater872/X (sky130_fd_sc_hd__clkbuf_1) + 0.23 24.36 ^ soc/core/repeater871/X (sky130_fd_sc_hd__clkbuf_1) + 0.23 24.59 ^ soc/core/repeater870/X (sky130_fd_sc_hd__clkbuf_1) + 0.23 24.82 ^ soc/core/repeater869/X (sky130_fd_sc_hd__clkbuf_1) + 0.23 25.05 ^ soc/core/repeater868/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 25.24 ^ soc/core/_32526_/X (sky130_fd_sc_hd__clkbuf_1) + 0.24 25.48 ^ soc/core/output707/X (sky130_fd_sc_hd__clkbuf_4) + 0.33 25.81 ^ mgmt_buffers/input545/X (sky130_fd_sc_hd__clkbuf_2) + 0.31 26.12 v mgmt_buffers/_406_/Y (sky130_fd_sc_hd__clkinv_8) + 0.17 26.29 ^ mgmt_buffers/mprj_adr_buf[6]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 26.29 ^ mprj/wbs_adr_i[25] (user_project_wrapper) + 26.29 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[28] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.49 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 2.02 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 1.65 18.60 v soc/core/_33555_/X (sky130_fd_sc_hd__mux2_8) + 0.16 18.75 ^ soc/core/_17829_/Y (sky130_fd_sc_hd__inv_2) + 0.17 18.92 ^ soc/core/repeater1132/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 19.09 ^ soc/core/repeater1131/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 19.28 ^ soc/core/repeater1130/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 19.45 ^ soc/core/repeater1129/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 19.61 ^ soc/core/repeater1128/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 19.77 ^ soc/core/repeater1127/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 19.93 ^ soc/core/repeater1126/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 20.09 ^ soc/core/repeater1125/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 20.27 ^ soc/core/repeater1124/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 20.44 ^ soc/core/repeater1123/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 20.62 ^ soc/core/repeater1122/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 20.79 ^ soc/core/repeater1121/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 20.95 ^ soc/core/repeater1120/X (sky130_fd_sc_hd__clkbuf_1) + 0.15 21.10 ^ soc/core/repeater1119/X (sky130_fd_sc_hd__clkbuf_1) + 0.21 21.31 ^ soc/core/repeater1118/X (sky130_fd_sc_hd__clkbuf_1) + 0.22 21.53 ^ soc/core/repeater1116/X (sky130_fd_sc_hd__clkbuf_1) + 0.23 21.76 ^ soc/core/repeater1115/X (sky130_fd_sc_hd__clkbuf_1) + 0.24 21.99 ^ soc/core/repeater1114/X (sky130_fd_sc_hd__clkbuf_1) + 0.23 22.22 ^ soc/core/repeater1113/X (sky130_fd_sc_hd__clkbuf_1) + 0.23 22.46 ^ soc/core/repeater1112/X (sky130_fd_sc_hd__clkbuf_1) + 0.22 22.68 ^ soc/core/repeater1111/X (sky130_fd_sc_hd__clkbuf_1) + 0.22 22.90 ^ soc/core/repeater1110/X (sky130_fd_sc_hd__clkbuf_1) + 0.25 23.15 ^ soc/core/repeater1109/X (sky130_fd_sc_hd__clkbuf_1) + 0.22 23.38 ^ soc/core/repeater1108/X (sky130_fd_sc_hd__clkbuf_1) + 0.22 23.60 ^ soc/core/repeater1107/X (sky130_fd_sc_hd__clkbuf_1) + 0.22 23.82 ^ soc/core/repeater1106/X (sky130_fd_sc_hd__clkbuf_1) + 0.24 24.06 ^ soc/core/repeater1105/X (sky130_fd_sc_hd__clkbuf_1) + 0.24 24.30 ^ soc/core/repeater1104/X (sky130_fd_sc_hd__clkbuf_1) + 0.24 24.53 ^ soc/core/repeater1103/X (sky130_fd_sc_hd__clkbuf_1) + 0.22 24.75 ^ soc/core/repeater1102/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 24.94 ^ soc/core/_32523_/X (sky130_fd_sc_hd__clkbuf_1) + 0.24 25.18 ^ soc/core/output704/X (sky130_fd_sc_hd__clkbuf_4) + 0.35 25.53 ^ mgmt_buffers/input542/X (sky130_fd_sc_hd__buf_2) + 0.22 25.75 v mgmt_buffers/_403_/Y (sky130_fd_sc_hd__inv_12) + 0.20 25.94 ^ mgmt_buffers/mprj_adr_buf[3]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 25.94 ^ mprj/wbs_adr_i[28] (user_project_wrapper) + 25.94 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[20] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.49 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 2.02 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 1.64 18.59 v soc/core/_33565_/X (sky130_fd_sc_hd__mux2_8) + 0.51 19.10 ^ soc/core/_17336_/Y (sky130_fd_sc_hd__inv_2) + 0.48 19.57 ^ soc/core/_17375_/X (sky130_fd_sc_hd__buf_12) + 0.55 20.12 ^ soc/core/output682/X (sky130_fd_sc_hd__clkbuf_4) + 0.31 20.43 ^ mgmt_buffers/input519/X (sky130_fd_sc_hd__clkbuf_1) + 0.26 20.70 v mgmt_buffers/_411_/Y (sky130_fd_sc_hd__inv_4) + 0.16 20.85 ^ mgmt_buffers/mprj_adr_buf[11]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 20.85 ^ mprj/wbs_adr_i[20] (user_project_wrapper) + 20.85 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[16] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.49 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 2.02 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 1.58 18.53 ^ soc/core/_33557_/X (sky130_fd_sc_hd__mux2_8) + 0.56 19.08 v soc/core/_18847_/Y (sky130_fd_sc_hd__clkinv_16) + 0.40 19.48 v soc/core/output686/X (sky130_fd_sc_hd__clkbuf_4) + 0.33 19.81 v mgmt_buffers/input523/X (sky130_fd_sc_hd__buf_2) + 0.32 20.14 ^ mgmt_buffers/_415_/Y (sky130_fd_sc_hd__inv_12) + 0.19 20.32 v mgmt_buffers/mprj_adr_buf[15]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 20.32 v mprj/wbs_adr_i[16] (user_project_wrapper) + 20.32 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[19] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.49 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 2.02 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 1.80 18.75 ^ soc/core/_32605_/X (sky130_fd_sc_hd__mux2_8) + 0.82 19.57 ^ soc/core/output683/X (sky130_fd_sc_hd__clkbuf_4) + 0.35 19.91 ^ mgmt_buffers/input520/X (sky130_fd_sc_hd__buf_2) + 0.20 20.12 v mgmt_buffers/_412_/Y (sky130_fd_sc_hd__inv_12) + 0.20 20.32 ^ mgmt_buffers/mprj_adr_buf[12]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 20.32 ^ mprj/wbs_adr_i[19] (user_project_wrapper) + 20.32 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[7] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.49 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 2.02 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 1.49 18.43 v soc/core/_33572_/X (sky130_fd_sc_hd__mux2_8) + 0.35 18.79 ^ soc/core/_24051_/Y (sky130_fd_sc_hd__inv_8) + 0.40 19.18 ^ soc/core/output695/X (sky130_fd_sc_hd__clkbuf_4) + 0.34 19.53 ^ mgmt_buffers/input533/X (sky130_fd_sc_hd__buf_4) + 0.28 19.81 v mgmt_buffers/_424_/Y (sky130_fd_sc_hd__clkinv_16) + 0.23 20.04 ^ mgmt_buffers/mprj_adr_buf[24]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 20.04 ^ mprj/wbs_adr_i[7] (user_project_wrapper) + 20.04 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[1] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.49 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 2.02 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 1.52 18.47 v soc/core/_33574_/X (sky130_fd_sc_hd__mux2_8) + 0.31 18.77 ^ soc/core/_30966_/Y (sky130_fd_sc_hd__inv_6) + 0.35 19.13 ^ soc/core/output702/X (sky130_fd_sc_hd__clkbuf_4) + 0.36 19.49 ^ mgmt_buffers/input540/X (sky130_fd_sc_hd__buf_2) + 0.31 19.79 v mgmt_buffers/_430_/Y (sky130_fd_sc_hd__clkinv_8) + 0.21 20.00 ^ mgmt_buffers/mprj_adr_buf[30]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 20.00 ^ mprj/wbs_adr_i[1] (user_project_wrapper) + 20.00 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[17] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.49 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 2.02 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 1.59 18.54 v soc/core/_33558_/X (sky130_fd_sc_hd__mux2_8) + 0.34 18.88 ^ soc/core/_27468_/Y (sky130_fd_sc_hd__clkinv_8) + 0.31 19.19 ^ soc/core/output685/X (sky130_fd_sc_hd__clkbuf_4) + 0.31 19.50 ^ mgmt_buffers/input522/X (sky130_fd_sc_hd__clkbuf_2) + 0.30 19.80 v mgmt_buffers/_414_/Y (sky130_fd_sc_hd__clkinv_8) + 0.16 19.96 ^ mgmt_buffers/mprj_adr_buf[14]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 19.96 ^ mprj/wbs_adr_i[17] (user_project_wrapper) + 19.96 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[18] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.49 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 2.02 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 1.61 18.56 v soc/core/_33559_/X (sky130_fd_sc_hd__mux2_8) + 0.31 18.87 ^ soc/core/_17887_/Y (sky130_fd_sc_hd__clkinv_16) + 0.34 19.21 ^ soc/core/output684/X (sky130_fd_sc_hd__clkbuf_4) + 0.34 19.56 ^ mgmt_buffers/input521/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.24 19.79 v mgmt_buffers/_413_/Y (sky130_fd_sc_hd__inv_6) + 0.17 19.96 ^ mgmt_buffers/mprj_adr_buf[13]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 19.96 ^ mprj/wbs_adr_i[18] (user_project_wrapper) + 19.96 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[4] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.49 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 2.02 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 1.45 18.40 v soc/core/_33560_/X (sky130_fd_sc_hd__mux2_8) + 0.34 18.74 ^ soc/core/_27390_/Y (sky130_fd_sc_hd__inv_8) + 0.35 19.09 ^ soc/core/output698/X (sky130_fd_sc_hd__clkbuf_4) + 0.37 19.46 ^ mgmt_buffers/input536/X (sky130_fd_sc_hd__buf_2) + 0.29 19.75 v mgmt_buffers/_427_/Y (sky130_fd_sc_hd__clkinv_8) + 0.20 19.95 ^ mgmt_buffers/mprj_adr_buf[27]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 19.95 ^ mprj/wbs_adr_i[4] (user_project_wrapper) + 19.95 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[3] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.49 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 2.02 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 1.50 18.45 v soc/core/_33576_/X (sky130_fd_sc_hd__mux2_8) + 0.30 18.75 ^ soc/core/_17315_/Y (sky130_fd_sc_hd__inv_8) + 0.37 19.12 ^ soc/core/output699/X (sky130_fd_sc_hd__clkbuf_4) + 0.35 19.47 ^ mgmt_buffers/input537/X (sky130_fd_sc_hd__buf_2) + 0.28 19.75 v mgmt_buffers/_428_/Y (sky130_fd_sc_hd__inv_8) + 0.15 19.90 ^ mgmt_buffers/mprj_adr_buf[28]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 19.90 ^ mprj/wbs_adr_i[3] (user_project_wrapper) + 19.90 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[5] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.49 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 2.02 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 1.47 18.42 v soc/core/_33566_/X (sky130_fd_sc_hd__mux2_8) + 0.33 18.75 ^ soc/core/_17312_/Y (sky130_fd_sc_hd__inv_12) + 0.37 19.12 ^ soc/core/output697/X (sky130_fd_sc_hd__clkbuf_4) + 0.36 19.48 ^ mgmt_buffers/input535/X (sky130_fd_sc_hd__clkbuf_4) + 0.23 19.71 v mgmt_buffers/_426_/Y (sky130_fd_sc_hd__inv_12) + 0.18 19.90 ^ mgmt_buffers/mprj_adr_buf[26]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 19.90 ^ mprj/wbs_adr_i[5] (user_project_wrapper) + 19.90 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[6] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.49 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 2.02 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 1.49 18.44 v soc/core/_33567_/X (sky130_fd_sc_hd__mux2_8) + 0.34 18.78 ^ soc/core/_24196_/Y (sky130_fd_sc_hd__inv_12) + 0.35 19.12 ^ soc/core/output696/X (sky130_fd_sc_hd__clkbuf_4) + 0.35 19.48 ^ mgmt_buffers/input534/X (sky130_fd_sc_hd__clkbuf_4) + 0.23 19.71 v mgmt_buffers/_425_/Y (sky130_fd_sc_hd__inv_12) + 0.17 19.88 ^ mgmt_buffers/mprj_adr_buf[25]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 19.88 ^ mprj/wbs_adr_i[6] (user_project_wrapper) + 19.88 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[2] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.49 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 2.02 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 1.51 18.45 v soc/core/_33575_/X (sky130_fd_sc_hd__mux2_8) + 0.28 18.74 ^ soc/core/_17316_/Y (sky130_fd_sc_hd__inv_12) + 0.35 19.09 ^ soc/core/output700/X (sky130_fd_sc_hd__clkbuf_4) + 0.35 19.44 ^ mgmt_buffers/input538/X (sky130_fd_sc_hd__buf_2) + 0.27 19.71 v mgmt_buffers/_429_/Y (sky130_fd_sc_hd__inv_8) + 0.15 19.86 ^ mgmt_buffers/mprj_adr_buf[29]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 19.86 ^ mprj/wbs_adr_i[2] (user_project_wrapper) + 19.86 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[11] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.49 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 2.02 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 1.39 18.33 v soc/core/_33563_/X (sky130_fd_sc_hd__mux2_8) + 0.29 18.62 ^ soc/core/_27431_/Y (sky130_fd_sc_hd__inv_8) + 0.33 18.95 ^ soc/core/output691/X (sky130_fd_sc_hd__clkbuf_4) + 0.32 19.27 ^ mgmt_buffers/input529/X (sky130_fd_sc_hd__clkbuf_2) + 0.32 19.59 v mgmt_buffers/_420_/Y (sky130_fd_sc_hd__clkinv_8) + 0.17 19.76 ^ mgmt_buffers/mprj_adr_buf[20]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 19.76 ^ mprj/wbs_adr_i[11] (user_project_wrapper) + 19.76 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[12] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.49 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 2.02 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 1.38 18.33 v soc/core/_33569_/X (sky130_fd_sc_hd__mux2_8) + 0.32 18.65 ^ soc/core/_19652_/Y (sky130_fd_sc_hd__inv_8) + 0.35 19.00 ^ soc/core/output690/X (sky130_fd_sc_hd__clkbuf_4) + 0.35 19.36 ^ mgmt_buffers/input527/X (sky130_fd_sc_hd__clkbuf_4) + 0.18 19.54 v mgmt_buffers/_419_/Y (sky130_fd_sc_hd__inv_16) + 0.20 19.74 ^ mgmt_buffers/mprj_adr_buf[19]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 19.74 ^ mprj/wbs_adr_i[12] (user_project_wrapper) + 19.74 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[0] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.49 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 2.02 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 1.54 18.49 v soc/core/_33573_/X (sky130_fd_sc_hd__mux2_8) + 0.24 18.74 ^ soc/core/_27355_/Y (sky130_fd_sc_hd__clkinv_8) + 0.34 19.08 ^ soc/core/output703/X (sky130_fd_sc_hd__clkbuf_4) + 0.30 19.38 ^ mgmt_buffers/input541/X (sky130_fd_sc_hd__clkbuf_2) + 0.22 19.60 v mgmt_buffers/_431_/Y (sky130_fd_sc_hd__inv_8) + 0.13 19.73 ^ mgmt_buffers/mprj_adr_buf[31]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 19.73 ^ mprj/wbs_adr_i[0] (user_project_wrapper) + 19.73 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[13] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.49 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 2.02 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 1.36 18.31 v soc/core/_33568_/X (sky130_fd_sc_hd__mux2_8) + 0.33 18.64 ^ soc/core/_19651_/Y (sky130_fd_sc_hd__inv_8) + 0.35 18.99 ^ soc/core/output689/X (sky130_fd_sc_hd__clkbuf_4) + 0.33 19.33 ^ mgmt_buffers/input526/X (sky130_fd_sc_hd__buf_2) + 0.23 19.56 v mgmt_buffers/_418_/Y (sky130_fd_sc_hd__inv_12) + 0.17 19.72 ^ mgmt_buffers/mprj_adr_buf[18]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 19.72 ^ mprj/wbs_adr_i[13] (user_project_wrapper) + 19.72 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[15] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.49 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 2.02 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 1.46 18.40 v soc/core/_33571_/X (sky130_fd_sc_hd__mux2_8) + 0.22 18.62 ^ soc/core/_19650_/Y (sky130_fd_sc_hd__clkinv_8) + 0.33 18.95 ^ soc/core/output687/X (sky130_fd_sc_hd__clkbuf_4) + 0.33 19.29 ^ mgmt_buffers/input524/X (sky130_fd_sc_hd__buf_2) + 0.23 19.51 v mgmt_buffers/_416_/Y (sky130_fd_sc_hd__inv_12) + 0.20 19.72 ^ mgmt_buffers/mprj_adr_buf[16]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 19.72 ^ mprj/wbs_adr_i[15] (user_project_wrapper) + 19.72 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[14] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.49 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 2.02 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 1.44 18.39 v soc/core/_33570_/X (sky130_fd_sc_hd__mux2_8) + 0.30 18.69 ^ soc/core/_19649_/Y (sky130_fd_sc_hd__inv_8) + 0.35 19.04 ^ soc/core/output688/X (sky130_fd_sc_hd__clkbuf_4) + 0.27 19.31 ^ mgmt_buffers/input525/X (sky130_fd_sc_hd__clkbuf_2) + 0.22 19.53 v mgmt_buffers/_417_/Y (sky130_fd_sc_hd__inv_6) + 0.14 19.67 ^ mgmt_buffers/mprj_adr_buf[17]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 19.67 ^ mprj/wbs_adr_i[14] (user_project_wrapper) + 19.67 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[10] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.49 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 2.02 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 1.47 18.42 v soc/core/_32606_/X (sky130_fd_sc_hd__mux2_8) + 0.35 18.77 v soc/core/output692/X (sky130_fd_sc_hd__clkbuf_4) + 0.34 19.10 v mgmt_buffers/input530/X (sky130_fd_sc_hd__buf_2) + 0.36 19.47 ^ mgmt_buffers/_421_/Y (sky130_fd_sc_hd__inv_12) + 0.16 19.63 v mgmt_buffers/mprj_adr_buf[21]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 19.63 v mprj/wbs_adr_i[10] (user_project_wrapper) + 19.63 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[8] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.49 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 2.02 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 1.25 18.20 v soc/core/_33561_/X (sky130_fd_sc_hd__mux2_4) + 0.31 18.51 ^ soc/core/_27412_/Y (sky130_fd_sc_hd__inv_6) + 0.37 18.88 ^ soc/core/output694/X (sky130_fd_sc_hd__clkbuf_4) + 0.29 19.17 ^ mgmt_buffers/input532/X (sky130_fd_sc_hd__clkbuf_2) + 0.18 19.35 v mgmt_buffers/_423_/Y (sky130_fd_sc_hd__inv_8) + 0.19 19.55 ^ mgmt_buffers/mprj_adr_buf[23]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 19.55 ^ mprj/wbs_adr_i[8] (user_project_wrapper) + 19.55 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[9] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.72 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.87 13.59 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.51 14.10 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.33 14.44 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.49 14.93 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 2.02 16.95 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 1.37 18.32 v soc/core/_33562_/X (sky130_fd_sc_hd__mux2_8) + 0.28 18.60 ^ soc/core/_27418_/Y (sky130_fd_sc_hd__inv_8) + 0.33 18.93 ^ soc/core/output693/X (sky130_fd_sc_hd__clkbuf_4) + 0.27 19.20 ^ mgmt_buffers/input531/X (sky130_fd_sc_hd__clkbuf_2) + 0.17 19.37 v mgmt_buffers/_422_/Y (sky130_fd_sc_hd__inv_6) + 0.15 19.52 ^ mgmt_buffers/mprj_adr_buf[22]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 19.52 ^ mprj/wbs_adr_i[9] (user_project_wrapper) + 19.52 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_bidir_2[2]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[0] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_bidir_2[2]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 1.17 1.17 v gpio_control_bidir_2[2]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.26 1.43 v gpio_control_bidir_2[2]/output29/X (sky130_fd_sc_hd__buf_2) + 22.64 24.07 ^ padframe/mprj_pads.area2_io_pad[18]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.32 24.39 ^ gpio_control_bidir_2[2]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 24.59 v gpio_control_bidir_2[2]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.10 24.69 ^ gpio_control_bidir_2[2]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 24.69 ^ mprj/io_in[0] (user_project_wrapper) + 24.69 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_2[8]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[10] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_2[8]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 1.17 1.17 v gpio_control_in_2[8]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.26 1.43 v gpio_control_in_2[8]/output29/X (sky130_fd_sc_hd__buf_2) + 22.64 24.07 ^ padframe/mprj_pads.area2_io_pad[8]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.32 24.39 ^ gpio_control_in_2[8]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 24.59 v gpio_control_in_2[8]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.10 24.69 ^ gpio_control_in_2[8]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 24.69 ^ mprj/io_in[10] (user_project_wrapper) + 24.69 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_2[7]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[11] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_2[7]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 1.17 1.17 v gpio_control_in_2[7]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.26 1.43 v gpio_control_in_2[7]/output29/X (sky130_fd_sc_hd__buf_2) + 22.64 24.07 ^ padframe/mprj_pads.area2_io_pad[7]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.32 24.39 ^ gpio_control_in_2[7]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 24.59 v gpio_control_in_2[7]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.10 24.69 ^ gpio_control_in_2[7]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 24.69 ^ mprj/io_in[11] (user_project_wrapper) + 24.69 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_2[6]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[12] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_2[6]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 1.17 1.17 v gpio_control_in_2[6]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.26 1.43 v gpio_control_in_2[6]/output29/X (sky130_fd_sc_hd__buf_2) + 22.64 24.07 ^ padframe/mprj_pads.area2_io_pad[6]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.32 24.39 ^ gpio_control_in_2[6]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 24.59 v gpio_control_in_2[6]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.10 24.69 ^ gpio_control_in_2[6]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 24.69 ^ mprj/io_in[12] (user_project_wrapper) + 24.69 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_2[5]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[13] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_2[5]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 1.17 1.17 v gpio_control_in_2[5]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.26 1.43 v gpio_control_in_2[5]/output29/X (sky130_fd_sc_hd__buf_2) + 22.64 24.07 ^ padframe/mprj_pads.area2_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.32 24.39 ^ gpio_control_in_2[5]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 24.59 v gpio_control_in_2[5]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.10 24.69 ^ gpio_control_in_2[5]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 24.69 ^ mprj/io_in[13] (user_project_wrapper) + 24.69 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_2[4]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[14] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_2[4]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 1.17 1.17 v gpio_control_in_2[4]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.26 1.43 v gpio_control_in_2[4]/output29/X (sky130_fd_sc_hd__buf_2) + 22.64 24.07 ^ padframe/mprj_pads.area2_io_pad[4]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.32 24.39 ^ gpio_control_in_2[4]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 24.59 v gpio_control_in_2[4]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.10 24.69 ^ gpio_control_in_2[4]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 24.69 ^ mprj/io_in[14] (user_project_wrapper) + 24.69 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_2[3]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[15] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_2[3]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 1.17 1.17 v gpio_control_in_2[3]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.26 1.43 v gpio_control_in_2[3]/output29/X (sky130_fd_sc_hd__buf_2) + 22.64 24.07 ^ padframe/mprj_pads.area2_io_pad[3]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.32 24.39 ^ gpio_control_in_2[3]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 24.59 v gpio_control_in_2[3]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.10 24.69 ^ gpio_control_in_2[3]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 24.69 ^ mprj/io_in[15] (user_project_wrapper) + 24.69 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_2[2]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[16] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_2[2]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 1.17 1.17 v gpio_control_in_2[2]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.26 1.43 v gpio_control_in_2[2]/output29/X (sky130_fd_sc_hd__buf_2) + 22.64 24.07 ^ padframe/mprj_pads.area2_io_pad[2]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.32 24.39 ^ gpio_control_in_2[2]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 24.59 v gpio_control_in_2[2]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.10 24.69 ^ gpio_control_in_2[2]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 24.69 ^ mprj/io_in[16] (user_project_wrapper) + 24.69 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_2[1]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[17] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_2[1]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 1.17 1.17 v gpio_control_in_2[1]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.26 1.43 v gpio_control_in_2[1]/output29/X (sky130_fd_sc_hd__buf_2) + 22.64 24.07 ^ padframe/mprj_pads.area2_io_pad[1]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.32 24.39 ^ gpio_control_in_2[1]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 24.59 v gpio_control_in_2[1]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.10 24.69 ^ gpio_control_in_2[1]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 24.69 ^ mprj/io_in[17] (user_project_wrapper) + 24.69 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_2[0]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[18] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_2[0]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 1.17 1.17 v gpio_control_in_2[0]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.26 1.43 v gpio_control_in_2[0]/output29/X (sky130_fd_sc_hd__buf_2) + 22.64 24.07 ^ padframe/mprj_pads.area2_io_pad[0]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.32 24.39 ^ gpio_control_in_2[0]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 24.59 v gpio_control_in_2[0]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.10 24.69 ^ gpio_control_in_2[0]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 24.69 ^ mprj/io_in[18] (user_project_wrapper) + 24.69 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1[10]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[19] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1[10]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 1.17 1.17 v gpio_control_in_1[10]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.26 1.43 v gpio_control_in_1[10]/output29/X (sky130_fd_sc_hd__buf_2) + 22.64 24.07 ^ padframe/mprj_pads.area1_io_pad[18]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.32 24.39 ^ gpio_control_in_1[10]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 24.59 v gpio_control_in_1[10]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.10 24.69 ^ gpio_control_in_1[10]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 24.69 ^ mprj/io_in[19] (user_project_wrapper) + 24.69 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_bidir_2[1]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[1] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_bidir_2[1]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 1.17 1.17 v gpio_control_bidir_2[1]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.26 1.43 v gpio_control_bidir_2[1]/output29/X (sky130_fd_sc_hd__buf_2) + 22.64 24.07 ^ padframe/mprj_pads.area2_io_pad[17]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.32 24.39 ^ gpio_control_bidir_2[1]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 24.59 v gpio_control_bidir_2[1]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.10 24.69 ^ gpio_control_bidir_2[1]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 24.69 ^ mprj/io_in[1] (user_project_wrapper) + 24.69 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1[9]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[20] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1[9]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 1.17 1.17 v gpio_control_in_1[9]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.26 1.43 v gpio_control_in_1[9]/output29/X (sky130_fd_sc_hd__buf_2) + 22.64 24.07 ^ padframe/mprj_pads.area1_io_pad[17]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.32 24.39 ^ gpio_control_in_1[9]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 24.59 v gpio_control_in_1[9]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.10 24.69 ^ gpio_control_in_1[9]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 24.69 ^ mprj/io_in[20] (user_project_wrapper) + 24.69 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1[8]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[21] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1[8]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 1.17 1.17 v gpio_control_in_1[8]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.26 1.43 v gpio_control_in_1[8]/output29/X (sky130_fd_sc_hd__buf_2) + 22.64 24.07 ^ padframe/mprj_pads.area1_io_pad[16]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.32 24.39 ^ gpio_control_in_1[8]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 24.59 v gpio_control_in_1[8]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.10 24.69 ^ gpio_control_in_1[8]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 24.69 ^ mprj/io_in[21] (user_project_wrapper) + 24.69 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1[7]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[22] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1[7]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 1.17 1.17 v gpio_control_in_1[7]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.26 1.43 v gpio_control_in_1[7]/output29/X (sky130_fd_sc_hd__buf_2) + 22.64 24.07 ^ padframe/mprj_pads.area1_io_pad[15]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.32 24.39 ^ gpio_control_in_1[7]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 24.59 v gpio_control_in_1[7]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.10 24.69 ^ gpio_control_in_1[7]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 24.69 ^ mprj/io_in[22] (user_project_wrapper) + 24.69 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1[6]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[23] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1[6]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 1.17 1.17 v gpio_control_in_1[6]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.26 1.43 v gpio_control_in_1[6]/output29/X (sky130_fd_sc_hd__buf_2) + 22.64 24.07 ^ padframe/mprj_pads.area1_io_pad[14]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.32 24.39 ^ gpio_control_in_1[6]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 24.59 v gpio_control_in_1[6]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.10 24.69 ^ gpio_control_in_1[6]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 24.69 ^ mprj/io_in[23] (user_project_wrapper) + 24.69 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1[5]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[24] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1[5]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 1.17 1.17 v gpio_control_in_1[5]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.26 1.43 v gpio_control_in_1[5]/output29/X (sky130_fd_sc_hd__buf_2) + 22.64 24.07 ^ padframe/mprj_pads.area1_io_pad[13]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.32 24.39 ^ gpio_control_in_1[5]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 24.59 v gpio_control_in_1[5]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.10 24.69 ^ gpio_control_in_1[5]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 24.69 ^ mprj/io_in[24] (user_project_wrapper) + 24.69 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1[4]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[25] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1[4]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 1.17 1.17 v gpio_control_in_1[4]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.26 1.43 v gpio_control_in_1[4]/output29/X (sky130_fd_sc_hd__buf_2) + 22.64 24.07 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.32 24.39 ^ gpio_control_in_1[4]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 24.59 v gpio_control_in_1[4]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.10 24.69 ^ gpio_control_in_1[4]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 24.69 ^ mprj/io_in[25] (user_project_wrapper) + 24.69 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1[3]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[26] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1[3]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 1.17 1.17 v gpio_control_in_1[3]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.26 1.43 v gpio_control_in_1[3]/output29/X (sky130_fd_sc_hd__buf_2) + 22.64 24.07 ^ padframe/mprj_pads.area1_io_pad[11]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.32 24.39 ^ gpio_control_in_1[3]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 24.59 v gpio_control_in_1[3]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.10 24.69 ^ gpio_control_in_1[3]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 24.69 ^ mprj/io_in[26] (user_project_wrapper) + 24.69 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1[2]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[27] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1[2]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 1.17 1.17 v gpio_control_in_1[2]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.26 1.43 v gpio_control_in_1[2]/output29/X (sky130_fd_sc_hd__buf_2) + 22.64 24.07 ^ padframe/mprj_pads.area1_io_pad[10]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.32 24.39 ^ gpio_control_in_1[2]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 24.59 v gpio_control_in_1[2]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.10 24.69 ^ gpio_control_in_1[2]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 24.69 ^ mprj/io_in[27] (user_project_wrapper) + 24.69 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1[1]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[28] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1[1]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 1.17 1.17 v gpio_control_in_1[1]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.26 1.43 v gpio_control_in_1[1]/output29/X (sky130_fd_sc_hd__buf_2) + 22.64 24.07 ^ padframe/mprj_pads.area1_io_pad[9]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.32 24.39 ^ gpio_control_in_1[1]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 24.59 v gpio_control_in_1[1]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.10 24.69 ^ gpio_control_in_1[1]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 24.69 ^ mprj/io_in[28] (user_project_wrapper) + 24.69 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1[0]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[29] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1[0]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 1.17 1.17 v gpio_control_in_1[0]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.26 1.43 v gpio_control_in_1[0]/output29/X (sky130_fd_sc_hd__buf_2) + 22.64 24.07 ^ padframe/mprj_pads.area1_io_pad[8]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.32 24.39 ^ gpio_control_in_1[0]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 24.59 v gpio_control_in_1[0]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.10 24.69 ^ gpio_control_in_1[0]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 24.69 ^ mprj/io_in[29] (user_project_wrapper) + 24.69 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1a[5]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[30] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1a[5]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 1.17 1.17 v gpio_control_in_1a[5]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.26 1.43 v gpio_control_in_1a[5]/output29/X (sky130_fd_sc_hd__buf_2) + 22.64 24.07 ^ padframe/mprj_pads.area1_io_pad[7]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.32 24.39 ^ gpio_control_in_1a[5]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 24.59 v gpio_control_in_1a[5]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.10 24.69 ^ gpio_control_in_1a[5]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 24.69 ^ mprj/io_in[30] (user_project_wrapper) + 24.69 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1a[4]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[31] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1a[4]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 1.17 1.17 v gpio_control_in_1a[4]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.26 1.43 v gpio_control_in_1a[4]/output29/X (sky130_fd_sc_hd__buf_2) + 22.64 24.07 ^ padframe/mprj_pads.area1_io_pad[6]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.32 24.39 ^ gpio_control_in_1a[4]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 24.59 v gpio_control_in_1a[4]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.10 24.69 ^ gpio_control_in_1a[4]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 24.69 ^ mprj/io_in[31] (user_project_wrapper) + 24.69 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1a[3]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[32] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1a[3]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 1.17 1.17 v gpio_control_in_1a[3]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.26 1.43 v gpio_control_in_1a[3]/output29/X (sky130_fd_sc_hd__buf_2) + 22.64 24.07 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.32 24.39 ^ gpio_control_in_1a[3]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 24.59 v gpio_control_in_1a[3]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.10 24.69 ^ gpio_control_in_1a[3]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 24.69 ^ mprj/io_in[32] (user_project_wrapper) + 24.69 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1a[2]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[33] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1a[2]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 1.17 1.17 v gpio_control_in_1a[2]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.26 1.43 v gpio_control_in_1a[2]/output29/X (sky130_fd_sc_hd__buf_2) + 22.64 24.07 ^ padframe/mprj_pads.area1_io_pad[4]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.32 24.39 ^ gpio_control_in_1a[2]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 24.59 v gpio_control_in_1a[2]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.10 24.69 ^ gpio_control_in_1a[2]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 24.69 ^ mprj/io_in[33] (user_project_wrapper) + 24.69 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1a[1]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[34] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1a[1]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 1.17 1.17 v gpio_control_in_1a[1]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.26 1.43 v gpio_control_in_1a[1]/output29/X (sky130_fd_sc_hd__buf_2) + 22.64 24.07 ^ padframe/mprj_pads.area1_io_pad[3]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.32 24.39 ^ gpio_control_in_1a[1]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 24.59 v gpio_control_in_1a[1]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.10 24.69 ^ gpio_control_in_1a[1]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 24.69 ^ mprj/io_in[34] (user_project_wrapper) + 24.69 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1a[0]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[35] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1a[0]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 1.17 1.17 v gpio_control_in_1a[0]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.26 1.43 v gpio_control_in_1a[0]/output29/X (sky130_fd_sc_hd__buf_2) + 22.64 24.07 ^ padframe/mprj_pads.area1_io_pad[2]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.32 24.39 ^ gpio_control_in_1a[0]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 24.59 v gpio_control_in_1a[0]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.10 24.69 ^ gpio_control_in_1a[0]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 24.69 ^ mprj/io_in[35] (user_project_wrapper) + 24.69 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_bidir_1[1]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[36] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_bidir_1[1]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 1.17 1.17 v gpio_control_bidir_1[1]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.26 1.43 v gpio_control_bidir_1[1]/output29/X (sky130_fd_sc_hd__buf_2) + 22.64 24.07 ^ padframe/mprj_pads.area1_io_pad[1]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.32 24.39 ^ gpio_control_bidir_1[1]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 24.59 v gpio_control_bidir_1[1]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.10 24.69 ^ gpio_control_bidir_1[1]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 24.69 ^ mprj/io_in[36] (user_project_wrapper) + 24.69 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_bidir_1[0]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[37] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_bidir_1[0]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 1.17 1.17 v gpio_control_bidir_1[0]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.26 1.43 v gpio_control_bidir_1[0]/output29/X (sky130_fd_sc_hd__buf_2) + 22.64 24.07 ^ padframe/mprj_pads.area1_io_pad[0]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.32 24.39 ^ gpio_control_bidir_1[0]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 24.59 v gpio_control_bidir_1[0]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.10 24.69 ^ gpio_control_bidir_1[0]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 24.69 ^ mprj/io_in[37] (user_project_wrapper) + 24.69 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_2[15]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[3] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_2[15]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 1.17 1.17 v gpio_control_in_2[15]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.26 1.43 v gpio_control_in_2[15]/output29/X (sky130_fd_sc_hd__buf_2) + 22.64 24.07 ^ padframe/mprj_pads.area2_io_pad[15]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.32 24.39 ^ gpio_control_in_2[15]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 24.59 v gpio_control_in_2[15]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.10 24.69 ^ gpio_control_in_2[15]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 24.69 ^ mprj/io_in[3] (user_project_wrapper) + 24.69 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_2[14]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[4] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_2[14]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 1.17 1.17 v gpio_control_in_2[14]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.26 1.43 v gpio_control_in_2[14]/output29/X (sky130_fd_sc_hd__buf_2) + 22.64 24.07 ^ padframe/mprj_pads.area2_io_pad[14]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.32 24.39 ^ gpio_control_in_2[14]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 24.59 v gpio_control_in_2[14]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.10 24.69 ^ gpio_control_in_2[14]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 24.69 ^ mprj/io_in[4] (user_project_wrapper) + 24.69 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: clock (clock source 'clock') +Endpoint: mprj/user_clock2 (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.50 v clock (in) + 4.73 17.23 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.30 17.53 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 0.16 17.69 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 0.66 18.35 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 0.67 19.03 v clocking/_400_/X (sky130_fd_sc_hd__mux2_1) + 0.22 19.24 v clocking/output12/X (sky130_fd_sc_hd__clkbuf_1) + 0.25 19.49 v mgmt_buffers/input2/X (sky130_fd_sc_hd__clkbuf_1) + 0.27 19.76 ^ mgmt_buffers/_392_/Y (sky130_fd_sc_hd__inv_2) + 0.10 19.86 v mgmt_buffers/mprj_clk2_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 19.86 v mprj/user_clock2 (user_project_wrapper) + 19.86 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +No paths found. +Startpoint: soc/core/_39196_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[18] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.84 ^ soc/core/_39196_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.71 13.55 v soc/core/_39196_/Q (sky130_fd_sc_hd__dfxtp_1) + 1.24 14.80 v soc/core/hold2666/X (sky130_fd_sc_hd__dlygate4sd3_1) + 0.18 14.97 ^ soc/core/_19114_/Y (sky130_fd_sc_hd__inv_2) + 0.25 15.23 ^ soc/core/output390/X (sky130_fd_sc_hd__clkbuf_4) + 0.40 15.63 ^ mgmt_buffers/input398/X (sky130_fd_sc_hd__clkbuf_4) + 0.66 16.29 v mgmt_buffers/la_buf_enable[109]/X (sky130_fd_sc_hd__and2b_2) + 0.29 16.58 v mgmt_buffers/la_buf[109]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 16.58 v mprj/la_data_in[18] (user_project_wrapper) + 16.58 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39184_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[30] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.04 ^ soc/core/_39184_/CLK (sky130_fd_sc_hd__dfxtp_4) + 1.13 14.17 ^ soc/core/_39184_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.45 14.61 v soc/core/_19154_/Y (sky130_fd_sc_hd__clkinv_2) + 0.34 14.95 v soc/core/output504/X (sky130_fd_sc_hd__clkbuf_4) + 0.35 15.30 v mgmt_buffers/input512/X (sky130_fd_sc_hd__clkbuf_4) + 0.69 16.00 ^ mgmt_buffers/la_buf_enable[97]/X (sky130_fd_sc_hd__and2b_2) + 0.35 16.35 ^ mgmt_buffers/la_buf[97]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 16.35 ^ mprj/la_data_in[30] (user_project_wrapper) + 16.35 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38560_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[68] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.50 ^ soc/core/_38560_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.82 13.32 ^ soc/core/_38560_/Q (sky130_fd_sc_hd__dfxtp_2) + 1.19 14.50 ^ soc/core/hold2653/X (sky130_fd_sc_hd__dlygate4sd3_1) + 0.25 14.76 v soc/core/_21291_/Y (sky130_fd_sc_hd__clkinv_2) + 0.32 15.08 v soc/core/output462/X (sky130_fd_sc_hd__clkbuf_4) + 0.30 15.38 v mgmt_buffers/input470/X (sky130_fd_sc_hd__clkbuf_2) + 0.57 15.94 ^ mgmt_buffers/la_buf_enable[59]/X (sky130_fd_sc_hd__and2b_1) + 0.31 16.25 ^ mgmt_buffers/la_buf[59]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 16.25 ^ mprj/la_data_in[68] (user_project_wrapper) + 16.25 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38551_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[77] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.48 ^ soc/core/_38551_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.89 13.37 ^ soc/core/_38551_/Q (sky130_fd_sc_hd__dfxtp_2) + 1.24 14.61 ^ soc/core/hold2359/X (sky130_fd_sc_hd__dlygate4sd3_1) + 0.13 14.74 v soc/core/_21321_/Y (sky130_fd_sc_hd__inv_2) + 0.24 14.98 v soc/core/output453/X (sky130_fd_sc_hd__clkbuf_4) + 0.36 15.35 v mgmt_buffers/input461/X (sky130_fd_sc_hd__clkbuf_2) + 0.59 15.94 ^ mgmt_buffers/la_buf_enable[50]/X (sky130_fd_sc_hd__and2b_1) + 0.29 16.23 ^ mgmt_buffers/la_buf[50]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 16.23 ^ mprj/la_data_in[77] (user_project_wrapper) + 16.23 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38562_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[66] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.51 ^ soc/core/_38562_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.74 13.25 v soc/core/_38562_/Q (sky130_fd_sc_hd__dfxtp_2) + 1.25 14.50 v soc/core/hold2592/X (sky130_fd_sc_hd__dlygate4sd3_1) + 0.20 14.70 ^ soc/core/_21285_/Y (sky130_fd_sc_hd__inv_2) + 0.27 14.97 ^ soc/core/output465/X (sky130_fd_sc_hd__clkbuf_4) + 0.38 15.35 ^ mgmt_buffers/input473/X (sky130_fd_sc_hd__buf_2) + 0.59 15.94 v mgmt_buffers/la_buf_enable[61]/X (sky130_fd_sc_hd__and2b_1) + 0.30 16.23 v mgmt_buffers/la_buf[61]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 16.23 v mprj/la_data_in[66] (user_project_wrapper) + 16.23 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39187_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[27] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.00 ^ soc/core/_39187_/CLK (sky130_fd_sc_hd__dfxtp_4) + 1.17 14.17 ^ soc/core/_39187_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.46 14.62 v soc/core/_19145_/Y (sky130_fd_sc_hd__clkinv_2) + 0.33 14.96 v soc/core/output381/X (sky130_fd_sc_hd__clkbuf_4) + 0.38 15.34 v mgmt_buffers/input389/X (sky130_fd_sc_hd__clkbuf_4) + 0.59 15.92 ^ mgmt_buffers/la_buf_enable[100]/X (sky130_fd_sc_hd__and2b_1) + 0.31 16.23 ^ mgmt_buffers/la_buf[100]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 16.23 ^ mprj/la_data_in[27] (user_project_wrapper) + 16.23 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38555_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[73] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.48 ^ soc/core/_38555_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.74 13.22 v soc/core/_38555_/Q (sky130_fd_sc_hd__dfxtp_2) + 1.24 14.46 v soc/core/hold1993/X (sky130_fd_sc_hd__dlygate4sd3_1) + 0.18 14.64 ^ soc/core/_21309_/Y (sky130_fd_sc_hd__inv_2) + 0.26 14.89 ^ soc/core/output457/X (sky130_fd_sc_hd__clkbuf_4) + 0.43 15.33 ^ mgmt_buffers/input465/X (sky130_fd_sc_hd__clkbuf_2) + 0.58 15.91 v mgmt_buffers/la_buf_enable[54]/X (sky130_fd_sc_hd__and2b_1) + 0.28 16.19 v mgmt_buffers/la_buf[54]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 16.19 v mprj/la_data_in[73] (user_project_wrapper) + 16.19 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39190_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[24] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.98 ^ soc/core/_39190_/CLK (sky130_fd_sc_hd__dfxtp_4) + 1.23 14.21 ^ soc/core/_39190_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.34 14.55 v soc/core/_19134_/Y (sky130_fd_sc_hd__inv_2) + 0.30 14.85 v soc/core/output384/X (sky130_fd_sc_hd__clkbuf_4) + 0.39 15.24 v mgmt_buffers/input392/X (sky130_fd_sc_hd__clkbuf_4) + 0.59 15.82 ^ mgmt_buffers/la_buf_enable[103]/X (sky130_fd_sc_hd__and2b_1) + 0.30 16.12 ^ mgmt_buffers/la_buf[103]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 16.12 ^ mprj/la_data_in[24] (user_project_wrapper) + 16.12 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39188_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[26] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.98 ^ soc/core/_39188_/CLK (sky130_fd_sc_hd__dfxtp_4) + 1.19 14.17 ^ soc/core/_39188_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.36 14.52 v soc/core/_19142_/Y (sky130_fd_sc_hd__inv_2) + 0.30 14.82 v soc/core/output382/X (sky130_fd_sc_hd__clkbuf_4) + 0.38 15.20 v mgmt_buffers/input390/X (sky130_fd_sc_hd__clkbuf_4) + 0.57 15.77 ^ mgmt_buffers/la_buf_enable[101]/X (sky130_fd_sc_hd__and2b_1) + 0.30 16.07 ^ mgmt_buffers/la_buf[101]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 16.07 ^ mprj/la_data_in[26] (user_project_wrapper) + 16.07 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39186_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[28] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.00 ^ soc/core/_39186_/CLK (sky130_fd_sc_hd__dfxtp_4) + 1.15 14.15 ^ soc/core/_39186_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.35 14.50 v soc/core/_19148_/Y (sky130_fd_sc_hd__inv_2) + 0.30 14.80 v soc/core/output506/X (sky130_fd_sc_hd__clkbuf_4) + 0.39 15.19 v mgmt_buffers/input514/X (sky130_fd_sc_hd__clkbuf_4) + 0.56 15.75 ^ mgmt_buffers/la_buf_enable[99]/X (sky130_fd_sc_hd__and2b_1) + 0.29 16.04 ^ mgmt_buffers/la_buf[99]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 16.04 ^ mprj/la_data_in[28] (user_project_wrapper) + 16.04 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39183_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[31] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.04 ^ soc/core/_39183_/CLK (sky130_fd_sc_hd__dfxtp_4) + 1.17 14.21 ^ soc/core/_39183_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.33 14.54 v soc/core/_19157_/Y (sky130_fd_sc_hd__inv_2) + 0.29 14.83 v soc/core/output503/X (sky130_fd_sc_hd__clkbuf_4) + 0.32 15.15 v mgmt_buffers/input511/X (sky130_fd_sc_hd__clkbuf_2) + 0.58 15.73 ^ mgmt_buffers/la_buf_enable[96]/X (sky130_fd_sc_hd__and2b_1) + 0.30 16.03 ^ mgmt_buffers/la_buf[96]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 16.03 ^ mprj/la_data_in[31] (user_project_wrapper) + 16.03 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39189_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[25] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.98 ^ soc/core/_39189_/CLK (sky130_fd_sc_hd__dfxtp_4) + 1.10 14.08 ^ soc/core/_39189_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.28 14.36 v soc/core/_19139_/Y (sky130_fd_sc_hd__inv_2) + 0.28 14.65 v soc/core/output383/X (sky130_fd_sc_hd__clkbuf_4) + 0.41 15.05 v mgmt_buffers/input391/X (sky130_fd_sc_hd__clkbuf_4) + 0.61 15.66 ^ mgmt_buffers/la_buf_enable[102]/X (sky130_fd_sc_hd__and2b_1) + 0.31 15.97 ^ mgmt_buffers/la_buf[102]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.97 ^ mprj/la_data_in[25] (user_project_wrapper) + 15.97 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38588_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[104] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.42 ^ soc/core/_38588_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.71 13.14 v soc/core/_38588_/Q (sky130_fd_sc_hd__dfxtp_2) + 1.24 14.37 v soc/core/hold2529/X (sky130_fd_sc_hd__dlygate4sd3_1) + 0.18 14.56 ^ soc/core/_21195_/Y (sky130_fd_sc_hd__inv_2) + 0.25 14.81 ^ soc/core/output423/X (sky130_fd_sc_hd__clkbuf_4) + 0.34 15.15 ^ mgmt_buffers/input431/X (sky130_fd_sc_hd__buf_2) + 0.54 15.68 v mgmt_buffers/la_buf_enable[23]/X (sky130_fd_sc_hd__and2b_1) + 0.28 15.96 v mgmt_buffers/la_buf[23]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.96 v mprj/la_data_in[104] (user_project_wrapper) + 15.96 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39185_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[29] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.00 ^ soc/core/_39185_/CLK (sky130_fd_sc_hd__dfxtp_4) + 1.07 14.07 ^ soc/core/_39185_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.29 14.36 v soc/core/_19151_/Y (sky130_fd_sc_hd__inv_2) + 0.28 14.64 v soc/core/output505/X (sky130_fd_sc_hd__clkbuf_4) + 0.39 15.02 v mgmt_buffers/input513/X (sky130_fd_sc_hd__clkbuf_4) + 0.59 15.62 ^ mgmt_buffers/la_buf_enable[98]/X (sky130_fd_sc_hd__and2b_1) + 0.31 15.92 ^ mgmt_buffers/la_buf[98]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.92 ^ mprj/la_data_in[29] (user_project_wrapper) + 15.92 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39214_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[0] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.04 ^ soc/core/_39214_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.92 13.96 ^ soc/core/_39214_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.33 14.30 v soc/core/_19048_/Y (sky130_fd_sc_hd__clkinv_8) + 0.36 14.66 v soc/core/output410/X (sky130_fd_sc_hd__clkbuf_4) + 0.40 15.06 v mgmt_buffers/input418/X (sky130_fd_sc_hd__clkbuf_4) + 0.56 15.62 ^ mgmt_buffers/la_buf_enable[127]/X (sky130_fd_sc_hd__and2b_1) + 0.29 15.91 ^ mgmt_buffers/la_buf[127]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.91 ^ mprj/la_data_in[0] (user_project_wrapper) + 15.91 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38532_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[32] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.06 ^ soc/core/_38532_/CLK (sky130_fd_sc_hd__dfxtp_4) + 1.11 14.18 ^ soc/core/_38532_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.17 14.35 v soc/core/_32262_/Y (sky130_fd_sc_hd__inv_2) + 0.25 14.59 v soc/core/output502/X (sky130_fd_sc_hd__clkbuf_4) + 0.39 14.98 v mgmt_buffers/input510/X (sky130_fd_sc_hd__clkbuf_4) + 0.59 15.57 ^ mgmt_buffers/la_buf_enable[95]/X (sky130_fd_sc_hd__and2b_1) + 0.31 15.88 ^ mgmt_buffers/la_buf[95]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.88 ^ mprj/la_data_in[32] (user_project_wrapper) + 15.88 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38526_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[38] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.06 ^ soc/core/_38526_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.93 13.99 ^ soc/core/_38526_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.19 14.18 v soc/core/_32256_/Y (sky130_fd_sc_hd__inv_2) + 0.25 14.43 v soc/core/output495/X (sky130_fd_sc_hd__clkbuf_4) + 0.38 14.81 v mgmt_buffers/input503/X (sky130_fd_sc_hd__buf_4) + 0.67 15.48 ^ mgmt_buffers/la_buf_enable[89]/X (sky130_fd_sc_hd__and2b_1) + 0.36 15.85 ^ mgmt_buffers/la_buf[89]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.85 ^ mprj/la_data_in[38] (user_project_wrapper) + 15.85 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39212_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[2] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.03 ^ soc/core/_39212_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.89 13.93 ^ soc/core/_39212_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.26 14.18 v soc/core/_19058_/Y (sky130_fd_sc_hd__clkinv_8) + 0.36 14.54 v soc/core/output408/X (sky130_fd_sc_hd__clkbuf_4) + 0.39 14.93 v mgmt_buffers/input416/X (sky130_fd_sc_hd__clkbuf_4) + 0.60 15.53 ^ mgmt_buffers/la_buf_enable[125]/X (sky130_fd_sc_hd__and2b_1) + 0.32 15.84 ^ mgmt_buffers/la_buf[125]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.84 ^ mprj/la_data_in[2] (user_project_wrapper) + 15.84 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39213_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[1] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.04 ^ soc/core/_39213_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.91 13.95 ^ soc/core/_39213_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.28 14.23 v soc/core/_19055_/Y (sky130_fd_sc_hd__clkinv_8) + 0.33 14.56 v soc/core/output409/X (sky130_fd_sc_hd__clkbuf_4) + 0.39 14.95 v mgmt_buffers/input417/X (sky130_fd_sc_hd__clkbuf_4) + 0.58 15.53 ^ mgmt_buffers/la_buf_enable[126]/X (sky130_fd_sc_hd__and2b_1) + 0.30 15.83 ^ mgmt_buffers/la_buf[126]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.83 ^ mprj/la_data_in[1] (user_project_wrapper) + 15.83 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39210_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[4] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.04 ^ soc/core/_39210_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.94 13.98 ^ soc/core/_39210_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.25 14.24 v soc/core/_19065_/Y (sky130_fd_sc_hd__inv_6) + 0.33 14.57 v soc/core/output406/X (sky130_fd_sc_hd__clkbuf_4) + 0.37 14.93 v mgmt_buffers/input414/X (sky130_fd_sc_hd__clkbuf_4) + 0.58 15.52 ^ mgmt_buffers/la_buf_enable[123]/X (sky130_fd_sc_hd__and2b_1) + 0.31 15.83 ^ mgmt_buffers/la_buf[123]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.83 ^ mprj/la_data_in[4] (user_project_wrapper) + 15.83 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38531_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[33] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.06 ^ soc/core/_38531_/CLK (sky130_fd_sc_hd__dfxtp_4) + 1.02 14.08 ^ soc/core/_38531_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.14 14.23 v soc/core/_32261_/Y (sky130_fd_sc_hd__inv_2) + 0.24 14.47 v soc/core/output501/X (sky130_fd_sc_hd__clkbuf_4) + 0.38 14.85 v mgmt_buffers/input509/X (sky130_fd_sc_hd__buf_4) + 0.64 15.49 ^ mgmt_buffers/la_buf_enable[94]/X (sky130_fd_sc_hd__and2b_1) + 0.33 15.83 ^ mgmt_buffers/la_buf[94]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.83 ^ mprj/la_data_in[33] (user_project_wrapper) + 15.83 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39211_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[3] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.03 ^ soc/core/_39211_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.91 13.95 ^ soc/core/_39211_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.31 14.25 v soc/core/_19062_/Y (sky130_fd_sc_hd__clkinv_8) + 0.32 14.58 v soc/core/output407/X (sky130_fd_sc_hd__clkbuf_4) + 0.37 14.95 v mgmt_buffers/input415/X (sky130_fd_sc_hd__clkbuf_4) + 0.55 15.50 ^ mgmt_buffers/la_buf_enable[124]/X (sky130_fd_sc_hd__and2b_1) + 0.29 15.79 ^ mgmt_buffers/la_buf[124]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.79 ^ mprj/la_data_in[3] (user_project_wrapper) + 15.79 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39209_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[5] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.00 ^ soc/core/_39209_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.95 13.96 ^ soc/core/_39209_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.27 14.23 v soc/core/_19068_/Y (sky130_fd_sc_hd__inv_6) + 0.34 14.57 v soc/core/output405/X (sky130_fd_sc_hd__clkbuf_4) + 0.35 14.92 v mgmt_buffers/input413/X (sky130_fd_sc_hd__clkbuf_4) + 0.54 15.46 ^ mgmt_buffers/la_buf_enable[122]/X (sky130_fd_sc_hd__and2b_1) + 0.29 15.75 ^ mgmt_buffers/la_buf[122]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.75 ^ mprj/la_data_in[5] (user_project_wrapper) + 15.75 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39208_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[6] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.00 ^ soc/core/_39208_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.88 13.88 ^ soc/core/_39208_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.26 14.14 v soc/core/_19071_/Y (sky130_fd_sc_hd__inv_4) + 0.31 14.45 v soc/core/output404/X (sky130_fd_sc_hd__clkbuf_4) + 0.35 14.81 v mgmt_buffers/input412/X (sky130_fd_sc_hd__clkbuf_4) + 0.60 15.41 ^ mgmt_buffers/la_buf_enable[121]/X (sky130_fd_sc_hd__and2b_1) + 0.33 15.74 ^ mgmt_buffers/la_buf[121]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.74 ^ mprj/la_data_in[6] (user_project_wrapper) + 15.74 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38565_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[127] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.40 ^ soc/core/_38565_/CLK (sky130_fd_sc_hd__dfxtp_4) + 1.38 13.78 ^ soc/core/_38565_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.51 14.30 v soc/core/_21273_/Y (sky130_fd_sc_hd__clkinv_2) + 0.34 14.63 v soc/core/output380/X (sky130_fd_sc_hd__clkbuf_4) + 0.28 14.91 v mgmt_buffers/input388/X (sky130_fd_sc_hd__clkbuf_2) + 0.53 15.44 ^ mgmt_buffers/la_buf_enable[0]/X (sky130_fd_sc_hd__and2b_1) + 0.29 15.74 ^ mgmt_buffers/la_buf[0]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.74 ^ mprj/la_data_in[127] (user_project_wrapper) + 15.74 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38527_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[37] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.06 ^ soc/core/_38527_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.96 14.02 ^ soc/core/_38527_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.15 14.17 v soc/core/_32257_/Y (sky130_fd_sc_hd__inv_2) + 0.24 14.41 v soc/core/output497/X (sky130_fd_sc_hd__clkbuf_4) + 0.38 14.79 v mgmt_buffers/input505/X (sky130_fd_sc_hd__clkbuf_4) + 0.62 15.40 ^ mgmt_buffers/la_buf_enable[90]/X (sky130_fd_sc_hd__and2b_1) + 0.33 15.73 ^ mgmt_buffers/la_buf[90]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.73 ^ mprj/la_data_in[37] (user_project_wrapper) + 15.73 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39207_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[7] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.00 ^ soc/core/_39207_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.87 13.87 ^ soc/core/_39207_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.26 14.13 v soc/core/_19075_/Y (sky130_fd_sc_hd__inv_4) + 0.33 14.46 v soc/core/output403/X (sky130_fd_sc_hd__clkbuf_4) + 0.31 14.76 v mgmt_buffers/input411/X (sky130_fd_sc_hd__clkbuf_2) + 0.62 15.39 ^ mgmt_buffers/la_buf_enable[120]/X (sky130_fd_sc_hd__and2b_1) + 0.34 15.72 ^ mgmt_buffers/la_buf[120]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.72 ^ mprj/la_data_in[7] (user_project_wrapper) + 15.72 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38529_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[35] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.06 ^ soc/core/_38529_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.95 14.01 ^ soc/core/_38529_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.15 14.16 v soc/core/_32259_/Y (sky130_fd_sc_hd__inv_2) + 0.24 14.41 v soc/core/output499/X (sky130_fd_sc_hd__clkbuf_4) + 0.40 14.80 v mgmt_buffers/input507/X (sky130_fd_sc_hd__clkbuf_4) + 0.60 15.41 ^ mgmt_buffers/la_buf_enable[92]/X (sky130_fd_sc_hd__and2b_1) + 0.30 15.71 ^ mgmt_buffers/la_buf[92]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.71 ^ mprj/la_data_in[35] (user_project_wrapper) + 15.71 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38530_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[34] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.06 ^ soc/core/_38530_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.99 14.05 ^ soc/core/_38530_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.17 14.22 v soc/core/_32260_/Y (sky130_fd_sc_hd__inv_2) + 0.24 14.46 v soc/core/output500/X (sky130_fd_sc_hd__clkbuf_4) + 0.38 14.84 v mgmt_buffers/input508/X (sky130_fd_sc_hd__buf_2) + 0.57 15.41 ^ mgmt_buffers/la_buf_enable[93]/X (sky130_fd_sc_hd__and2b_1) + 0.30 15.71 ^ mgmt_buffers/la_buf[93]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.71 ^ mprj/la_data_in[34] (user_project_wrapper) + 15.71 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38424_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[44] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.06 ^ soc/core/_38424_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.91 13.97 ^ soc/core/_38424_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.51 14.48 ^ soc/core/_32475_/X (sky130_fd_sc_hd__clkbuf_2) + 0.35 14.83 ^ soc/core/output617/X (sky130_fd_sc_hd__clkbuf_4) + 0.40 15.23 ^ mgmt_buffers/input241/X (sky130_fd_sc_hd__clkbuf_4) + 0.32 15.56 v mgmt_buffers/_547_/Y (sky130_fd_sc_hd__clkinv_2) + 0.14 15.70 ^ mgmt_buffers/la_buf[83]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.70 ^ mprj/la_data_in[44] (user_project_wrapper) + 15.70 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38566_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[126] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.40 ^ soc/core/_38566_/CLK (sky130_fd_sc_hd__dfxtp_4) + 1.32 13.73 ^ soc/core/_38566_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.51 14.23 v soc/core/_21270_/Y (sky130_fd_sc_hd__clkinv_2) + 0.34 14.57 v soc/core/output419/X (sky130_fd_sc_hd__clkbuf_4) + 0.32 14.89 v mgmt_buffers/input427/X (sky130_fd_sc_hd__buf_2) + 0.51 15.40 ^ mgmt_buffers/la_buf_enable[1]/X (sky130_fd_sc_hd__and2b_1) + 0.29 15.68 ^ mgmt_buffers/la_buf[1]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.68 ^ mprj/la_data_in[126] (user_project_wrapper) + 15.68 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38528_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[36] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.06 ^ soc/core/_38528_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.98 14.04 ^ soc/core/_38528_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.15 14.20 v soc/core/_32258_/Y (sky130_fd_sc_hd__inv_2) + 0.24 14.44 v soc/core/output498/X (sky130_fd_sc_hd__clkbuf_4) + 0.38 14.82 v mgmt_buffers/input506/X (sky130_fd_sc_hd__clkbuf_4) + 0.56 15.37 ^ mgmt_buffers/la_buf_enable[91]/X (sky130_fd_sc_hd__and2b_1) + 0.29 15.66 ^ mgmt_buffers/la_buf[91]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.66 ^ mprj/la_data_in[36] (user_project_wrapper) + 15.66 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38423_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[45] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.06 ^ soc/core/_38423_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.90 13.96 ^ soc/core/_38423_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.45 14.41 ^ soc/core/_32474_/X (sky130_fd_sc_hd__clkbuf_2) + 0.31 14.73 ^ soc/core/output616/X (sky130_fd_sc_hd__clkbuf_4) + 0.42 15.15 ^ mgmt_buffers/input240/X (sky130_fd_sc_hd__buf_2) + 0.34 15.48 v mgmt_buffers/_546_/Y (sky130_fd_sc_hd__clkinv_2) + 0.13 15.62 ^ mgmt_buffers/la_buf[82]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.62 ^ mprj/la_data_in[45] (user_project_wrapper) + 15.62 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38422_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[46] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.06 ^ soc/core/_38422_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.88 13.94 ^ soc/core/_38422_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.45 14.39 ^ soc/core/_32473_/X (sky130_fd_sc_hd__buf_2) + 0.32 14.71 ^ soc/core/output615/X (sky130_fd_sc_hd__clkbuf_4) + 0.45 15.15 ^ mgmt_buffers/input239/X (sky130_fd_sc_hd__clkbuf_4) + 0.31 15.46 v mgmt_buffers/_545_/Y (sky130_fd_sc_hd__clkinv_2) + 0.13 15.59 ^ mgmt_buffers/la_buf[81]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.59 ^ mprj/la_data_in[46] (user_project_wrapper) + 15.59 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39206_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[8] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.84 ^ soc/core/_39206_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.83 13.67 ^ soc/core/_39206_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.27 13.94 v soc/core/_19078_/Y (sky130_fd_sc_hd__clkinv_2) + 0.29 14.23 v soc/core/output401/X (sky130_fd_sc_hd__clkbuf_4) + 0.35 14.58 v mgmt_buffers/input409/X (sky130_fd_sc_hd__clkbuf_4) + 0.64 15.22 ^ mgmt_buffers/la_buf_enable[119]/X (sky130_fd_sc_hd__and2b_2) + 0.32 15.54 ^ mgmt_buffers/la_buf[119]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.54 ^ mprj/la_data_in[8] (user_project_wrapper) + 15.54 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38522_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[42] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.00 ^ soc/core/_38522_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.87 13.86 ^ soc/core/_38522_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.14 14.00 v soc/core/_32252_/Y (sky130_fd_sc_hd__inv_2) + 0.24 14.24 v soc/core/output491/X (sky130_fd_sc_hd__clkbuf_4) + 0.35 14.59 v mgmt_buffers/input499/X (sky130_fd_sc_hd__buf_4) + 0.58 15.18 ^ mgmt_buffers/la_buf_enable[85]/X (sky130_fd_sc_hd__and2b_1) + 0.32 15.49 ^ mgmt_buffers/la_buf[85]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.49 ^ mprj/la_data_in[42] (user_project_wrapper) + 15.49 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[47] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.06 ^ soc/core/_38421_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.89 13.95 ^ soc/core/_38421_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.38 14.33 ^ soc/core/_32472_/X (sky130_fd_sc_hd__clkbuf_2) + 0.29 14.62 ^ soc/core/output614/X (sky130_fd_sc_hd__clkbuf_4) + 0.44 15.06 ^ mgmt_buffers/input238/X (sky130_fd_sc_hd__clkbuf_4) + 0.30 15.36 v mgmt_buffers/_544_/Y (sky130_fd_sc_hd__clkinv_2) + 0.12 15.48 ^ mgmt_buffers/la_buf[80]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.48 ^ mprj/la_data_in[47] (user_project_wrapper) + 15.48 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39200_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[14] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.83 ^ soc/core/_39200_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.84 13.67 ^ soc/core/_39200_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.20 13.87 v soc/core/_19099_/Y (sky130_fd_sc_hd__inv_2) + 0.26 14.13 v soc/core/output395/X (sky130_fd_sc_hd__clkbuf_4) + 0.37 14.50 v mgmt_buffers/input403/X (sky130_fd_sc_hd__clkbuf_4) + 0.64 15.14 ^ mgmt_buffers/la_buf_enable[113]/X (sky130_fd_sc_hd__and2b_1) + 0.34 15.48 ^ mgmt_buffers/la_buf[113]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.48 ^ mprj/la_data_in[14] (user_project_wrapper) + 15.48 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39192_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[22] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.84 ^ soc/core/_39192_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.92 13.77 ^ soc/core/_39192_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.23 13.99 v soc/core/_19127_/Y (sky130_fd_sc_hd__inv_2) + 0.27 14.26 v soc/core/output386/X (sky130_fd_sc_hd__clkbuf_4) + 0.35 14.61 v mgmt_buffers/input394/X (sky130_fd_sc_hd__buf_4) + 0.55 15.16 ^ mgmt_buffers/la_buf_enable[105]/X (sky130_fd_sc_hd__and2b_1) + 0.30 15.46 ^ mgmt_buffers/la_buf[105]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.46 ^ mprj/la_data_in[22] (user_project_wrapper) + 15.46 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38524_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[40] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.00 ^ soc/core/_38524_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.85 13.85 ^ soc/core/_38524_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.12 13.97 v soc/core/_32254_/Y (sky130_fd_sc_hd__inv_2) + 0.23 14.19 v soc/core/output493/X (sky130_fd_sc_hd__clkbuf_4) + 0.41 14.60 v mgmt_buffers/input501/X (sky130_fd_sc_hd__clkbuf_4) + 0.57 15.17 ^ mgmt_buffers/la_buf_enable[87]/X (sky130_fd_sc_hd__and2b_1) + 0.29 15.46 ^ mgmt_buffers/la_buf[87]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.46 ^ mprj/la_data_in[40] (user_project_wrapper) + 15.46 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39205_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[9] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.86 ^ soc/core/_39205_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.87 13.74 ^ soc/core/_39205_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.19 13.93 v soc/core/_19083_/Y (sky130_fd_sc_hd__inv_2) + 0.26 14.19 v soc/core/output400/X (sky130_fd_sc_hd__clkbuf_4) + 0.39 14.57 v mgmt_buffers/input408/X (sky130_fd_sc_hd__clkbuf_4) + 0.58 15.15 ^ mgmt_buffers/la_buf_enable[118]/X (sky130_fd_sc_hd__and2b_1) + 0.30 15.46 ^ mgmt_buffers/la_buf[118]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.46 ^ mprj/la_data_in[9] (user_project_wrapper) + 15.46 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39198_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[16] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.84 ^ soc/core/_39198_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.86 13.70 ^ soc/core/_39198_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.20 13.89 v soc/core/_19106_/Y (sky130_fd_sc_hd__inv_2) + 0.26 14.15 v soc/core/output393/X (sky130_fd_sc_hd__clkbuf_4) + 0.35 14.50 v mgmt_buffers/input401/X (sky130_fd_sc_hd__buf_4) + 0.61 15.11 ^ mgmt_buffers/la_buf_enable[111]/X (sky130_fd_sc_hd__and2b_1) + 0.33 15.44 ^ mgmt_buffers/la_buf[111]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.44 ^ mprj/la_data_in[16] (user_project_wrapper) + 15.44 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39199_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[15] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.82 ^ soc/core/_39199_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.84 13.66 ^ soc/core/_39199_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.16 13.82 v soc/core/_19103_/Y (sky130_fd_sc_hd__inv_2) + 0.24 14.06 v soc/core/output394/X (sky130_fd_sc_hd__clkbuf_4) + 0.38 14.44 v mgmt_buffers/input402/X (sky130_fd_sc_hd__buf_2) + 0.65 15.09 ^ mgmt_buffers/la_buf_enable[112]/X (sky130_fd_sc_hd__and2b_1) + 0.35 15.44 ^ mgmt_buffers/la_buf[112]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.44 ^ mprj/la_data_in[15] (user_project_wrapper) + 15.44 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38523_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[41] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.00 ^ soc/core/_38523_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.85 13.85 ^ soc/core/_38523_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.13 13.98 v soc/core/_32253_/Y (sky130_fd_sc_hd__inv_2) + 0.24 14.22 v soc/core/output492/X (sky130_fd_sc_hd__clkbuf_4) + 0.36 14.58 v mgmt_buffers/input500/X (sky130_fd_sc_hd__buf_4) + 0.56 15.14 ^ mgmt_buffers/la_buf_enable[86]/X (sky130_fd_sc_hd__and2b_1) + 0.30 15.44 ^ mgmt_buffers/la_buf[86]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.44 ^ mprj/la_data_in[41] (user_project_wrapper) + 15.44 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39194_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[20] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.84 ^ soc/core/_39194_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.83 13.67 ^ soc/core/_39194_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.17 13.84 v soc/core/_19121_/Y (sky130_fd_sc_hd__inv_2) + 0.25 14.09 v soc/core/output388/X (sky130_fd_sc_hd__clkbuf_4) + 0.37 14.46 v mgmt_buffers/input396/X (sky130_fd_sc_hd__clkbuf_4) + 0.63 15.09 ^ mgmt_buffers/la_buf_enable[107]/X (sky130_fd_sc_hd__and2b_1) + 0.34 15.43 ^ mgmt_buffers/la_buf[107]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.43 ^ mprj/la_data_in[20] (user_project_wrapper) + 15.43 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38525_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[39] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.00 ^ soc/core/_38525_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.83 13.83 ^ soc/core/_38525_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.14 13.96 v soc/core/_32255_/Y (sky130_fd_sc_hd__inv_2) + 0.24 14.20 v soc/core/output494/X (sky130_fd_sc_hd__clkbuf_4) + 0.36 14.56 v mgmt_buffers/input502/X (sky130_fd_sc_hd__buf_4) + 0.56 15.13 ^ mgmt_buffers/la_buf_enable[88]/X (sky130_fd_sc_hd__and2b_1) + 0.30 15.43 ^ mgmt_buffers/la_buf[88]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.43 ^ mprj/la_data_in[39] (user_project_wrapper) + 15.43 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39193_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[21] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.84 ^ soc/core/_39193_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.83 13.67 ^ soc/core/_39193_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.18 13.85 v soc/core/_19124_/Y (sky130_fd_sc_hd__inv_2) + 0.25 14.10 v soc/core/output387/X (sky130_fd_sc_hd__clkbuf_4) + 0.36 14.46 v mgmt_buffers/input395/X (sky130_fd_sc_hd__buf_2) + 0.62 15.08 ^ mgmt_buffers/la_buf_enable[106]/X (sky130_fd_sc_hd__and2b_1) + 0.33 15.41 ^ mgmt_buffers/la_buf[106]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.41 ^ mprj/la_data_in[21] (user_project_wrapper) + 15.41 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38425_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[43] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.06 ^ soc/core/_38425_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.89 13.95 ^ soc/core/_38425_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.37 14.32 ^ soc/core/_32476_/X (sky130_fd_sc_hd__clkbuf_2) + 0.27 14.60 ^ soc/core/output618/X (sky130_fd_sc_hd__clkbuf_4) + 0.39 14.99 ^ mgmt_buffers/input242/X (sky130_fd_sc_hd__buf_4) + 0.30 15.29 v mgmt_buffers/_548_/Y (sky130_fd_sc_hd__clkinv_2) + 0.12 15.41 ^ mgmt_buffers/la_buf[84]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.41 ^ mprj/la_data_in[43] (user_project_wrapper) + 15.41 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39204_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[10] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.86 ^ soc/core/_39204_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.84 13.71 ^ soc/core/_39204_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.17 13.88 v soc/core/_19086_/Y (sky130_fd_sc_hd__inv_2) + 0.25 14.13 v soc/core/output399/X (sky130_fd_sc_hd__clkbuf_4) + 0.37 14.51 v mgmt_buffers/input407/X (sky130_fd_sc_hd__clkbuf_4) + 0.58 15.09 ^ mgmt_buffers/la_buf_enable[117]/X (sky130_fd_sc_hd__and2b_1) + 0.31 15.40 ^ mgmt_buffers/la_buf[117]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.40 ^ mprj/la_data_in[10] (user_project_wrapper) + 15.40 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38512_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[52] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.53 ^ soc/core/_38512_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.92 13.45 ^ soc/core/_38512_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.35 13.80 v soc/core/_32242_/Y (sky130_fd_sc_hd__clkinv_2) + 0.33 14.12 v soc/core/output480/X (sky130_fd_sc_hd__clkbuf_4) + 0.39 14.51 v mgmt_buffers/input488/X (sky130_fd_sc_hd__buf_2) + 0.57 15.08 ^ mgmt_buffers/la_buf_enable[75]/X (sky130_fd_sc_hd__and2b_1) + 0.30 15.39 ^ mgmt_buffers/la_buf[75]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.39 ^ mprj/la_data_in[52] (user_project_wrapper) + 15.39 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38534_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[94] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.46 ^ soc/core/_38534_/CLK (sky130_fd_sc_hd__dfxtp_4) + 1.16 13.62 ^ soc/core/_38534_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.33 13.95 v soc/core/_21378_/Y (sky130_fd_sc_hd__inv_2) + 0.29 14.24 v soc/core/output434/X (sky130_fd_sc_hd__clkbuf_4) + 0.34 14.57 v mgmt_buffers/input442/X (sky130_fd_sc_hd__buf_2) + 0.52 15.10 ^ mgmt_buffers/la_buf_enable[33]/X (sky130_fd_sc_hd__and2b_1) + 0.29 15.39 ^ mgmt_buffers/la_buf[33]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.39 ^ mprj/la_data_in[94] (user_project_wrapper) + 15.39 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39201_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[13] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.82 ^ soc/core/_39201_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.83 13.66 ^ soc/core/_39201_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.16 13.82 v soc/core/_19096_/Y (sky130_fd_sc_hd__inv_2) + 0.24 14.06 v soc/core/output396/X (sky130_fd_sc_hd__clkbuf_4) + 0.36 14.43 v mgmt_buffers/input404/X (sky130_fd_sc_hd__clkbuf_4) + 0.61 15.04 ^ mgmt_buffers/la_buf_enable[114]/X (sky130_fd_sc_hd__and2b_1) + 0.33 15.37 ^ mgmt_buffers/la_buf[114]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.37 ^ mprj/la_data_in[13] (user_project_wrapper) + 15.37 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39191_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[23] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.84 ^ soc/core/_39191_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.84 13.69 ^ soc/core/_39191_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.19 13.87 v soc/core/_19131_/Y (sky130_fd_sc_hd__inv_2) + 0.25 14.13 v soc/core/output385/X (sky130_fd_sc_hd__clkbuf_4) + 0.33 14.46 v mgmt_buffers/input393/X (sky130_fd_sc_hd__buf_2) + 0.58 15.04 ^ mgmt_buffers/la_buf_enable[104]/X (sky130_fd_sc_hd__and2b_1) + 0.32 15.36 ^ mgmt_buffers/la_buf[104]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.36 ^ mprj/la_data_in[23] (user_project_wrapper) + 15.36 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39203_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[11] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.86 ^ soc/core/_39203_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.84 13.71 ^ soc/core/_39203_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.16 13.86 v soc/core/_19090_/Y (sky130_fd_sc_hd__inv_2) + 0.24 14.11 v soc/core/output398/X (sky130_fd_sc_hd__clkbuf_4) + 0.38 14.49 v mgmt_buffers/input406/X (sky130_fd_sc_hd__clkbuf_4) + 0.57 15.06 ^ mgmt_buffers/la_buf_enable[116]/X (sky130_fd_sc_hd__and2b_1) + 0.30 15.36 ^ mgmt_buffers/la_buf[116]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.36 ^ mprj/la_data_in[11] (user_project_wrapper) + 15.36 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39197_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[17] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.84 ^ soc/core/_39197_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.84 13.68 ^ soc/core/_39197_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.17 13.85 v soc/core/_19111_/Y (sky130_fd_sc_hd__inv_2) + 0.25 14.10 v soc/core/output392/X (sky130_fd_sc_hd__clkbuf_4) + 0.38 14.47 v mgmt_buffers/input400/X (sky130_fd_sc_hd__clkbuf_4) + 0.56 15.03 ^ mgmt_buffers/la_buf_enable[110]/X (sky130_fd_sc_hd__and2b_1) + 0.29 15.32 ^ mgmt_buffers/la_buf[110]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.32 ^ mprj/la_data_in[17] (user_project_wrapper) + 15.32 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39195_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[19] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.84 ^ soc/core/_39195_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.84 13.68 ^ soc/core/_39195_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.17 13.86 v soc/core/_19118_/Y (sky130_fd_sc_hd__inv_2) + 0.25 14.11 v soc/core/output389/X (sky130_fd_sc_hd__clkbuf_4) + 0.36 14.47 v mgmt_buffers/input397/X (sky130_fd_sc_hd__buf_4) + 0.56 15.02 ^ mgmt_buffers/la_buf_enable[108]/X (sky130_fd_sc_hd__and2b_1) + 0.29 15.32 ^ mgmt_buffers/la_buf[108]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.32 ^ mprj/la_data_in[19] (user_project_wrapper) + 15.32 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38533_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[95] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.46 ^ soc/core/_38533_/CLK (sky130_fd_sc_hd__dfxtp_4) + 1.13 13.58 ^ soc/core/_38533_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.28 13.86 v soc/core/_21381_/Y (sky130_fd_sc_hd__inv_2) + 0.28 14.14 v soc/core/output433/X (sky130_fd_sc_hd__clkbuf_4) + 0.34 14.48 v mgmt_buffers/input441/X (sky130_fd_sc_hd__buf_2) + 0.53 15.01 ^ mgmt_buffers/la_buf_enable[32]/X (sky130_fd_sc_hd__and2b_1) + 0.29 15.31 ^ mgmt_buffers/la_buf[32]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.31 ^ mprj/la_data_in[95] (user_project_wrapper) + 15.31 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38513_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[51] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.52 ^ soc/core/_38513_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.85 13.37 ^ soc/core/_38513_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.25 13.62 v soc/core/_32243_/Y (sky130_fd_sc_hd__inv_2) + 0.30 13.92 v soc/core/output481/X (sky130_fd_sc_hd__clkbuf_4) + 0.38 14.29 v mgmt_buffers/input489/X (sky130_fd_sc_hd__clkbuf_4) + 0.64 14.94 ^ mgmt_buffers/la_buf_enable[76]/X (sky130_fd_sc_hd__and2b_1) + 0.35 15.28 ^ mgmt_buffers/la_buf[76]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.28 ^ mprj/la_data_in[51] (user_project_wrapper) + 15.28 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38516_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[48] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.52 ^ soc/core/_38516_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.88 13.41 ^ soc/core/_38516_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.25 13.65 v soc/core/_32246_/Y (sky130_fd_sc_hd__inv_2) + 0.28 13.94 v soc/core/output484/X (sky130_fd_sc_hd__clkbuf_4) + 0.39 14.33 v mgmt_buffers/input492/X (sky130_fd_sc_hd__clkbuf_4) + 0.63 14.96 ^ mgmt_buffers/la_buf_enable[79]/X (sky130_fd_sc_hd__and2b_1) + 0.33 15.28 ^ mgmt_buffers/la_buf[79]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.28 ^ mprj/la_data_in[48] (user_project_wrapper) + 15.28 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38510_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[54] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.52 ^ soc/core/_38510_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.89 13.40 ^ soc/core/_38510_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.32 13.73 v soc/core/_32240_/Y (sky130_fd_sc_hd__clkinv_2) + 0.32 14.05 v soc/core/output478/X (sky130_fd_sc_hd__clkbuf_4) + 0.37 14.42 v mgmt_buffers/input486/X (sky130_fd_sc_hd__clkbuf_4) + 0.56 14.98 ^ mgmt_buffers/la_buf_enable[73]/X (sky130_fd_sc_hd__and2b_1) + 0.30 15.28 ^ mgmt_buffers/la_buf[73]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.28 ^ mprj/la_data_in[54] (user_project_wrapper) + 15.28 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39202_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[12] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.82 ^ soc/core/_39202_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.83 13.65 ^ soc/core/_39202_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.15 13.80 v soc/core/_19093_/Y (sky130_fd_sc_hd__inv_2) + 0.24 14.04 v soc/core/output397/X (sky130_fd_sc_hd__clkbuf_4) + 0.37 14.40 v mgmt_buffers/input405/X (sky130_fd_sc_hd__clkbuf_4) + 0.56 14.97 ^ mgmt_buffers/la_buf_enable[115]/X (sky130_fd_sc_hd__and2b_1) + 0.30 15.27 ^ mgmt_buffers/la_buf[115]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.27 ^ mprj/la_data_in[12] (user_project_wrapper) + 15.27 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38509_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[55] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.52 ^ soc/core/_38509_/CLK (sky130_fd_sc_hd__dfxtp_2) + 1.00 13.51 ^ soc/core/_38509_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.24 13.76 v soc/core/_32239_/Y (sky130_fd_sc_hd__inv_2) + 0.27 14.02 v soc/core/output477/X (sky130_fd_sc_hd__clkbuf_4) + 0.34 14.37 v mgmt_buffers/input485/X (sky130_fd_sc_hd__buf_2) + 0.57 14.94 ^ mgmt_buffers/la_buf_enable[72]/X (sky130_fd_sc_hd__and2b_1) + 0.32 15.26 ^ mgmt_buffers/la_buf[72]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.26 ^ mprj/la_data_in[55] (user_project_wrapper) + 15.26 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38540_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[88] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.45 ^ soc/core/_38540_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.93 13.38 ^ soc/core/_38540_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.34 13.72 v soc/core/_21358_/Y (sky130_fd_sc_hd__clkinv_2) + 0.33 14.04 v soc/core/output440/X (sky130_fd_sc_hd__clkbuf_4) + 0.40 14.44 v mgmt_buffers/input448/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.53 14.98 ^ mgmt_buffers/la_buf_enable[39]/X (sky130_fd_sc_hd__and2b_1) + 0.28 15.26 ^ mgmt_buffers/la_buf[39]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.26 ^ mprj/la_data_in[88] (user_project_wrapper) + 15.26 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38508_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[56] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.52 ^ soc/core/_38508_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.87 13.38 ^ soc/core/_38508_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.34 13.72 v soc/core/_32238_/Y (sky130_fd_sc_hd__clkinv_2) + 0.33 14.05 v soc/core/output476/X (sky130_fd_sc_hd__clkbuf_4) + 0.32 14.37 v mgmt_buffers/input484/X (sky130_fd_sc_hd__clkbuf_2) + 0.57 14.94 ^ mgmt_buffers/la_buf_enable[71]/X (sky130_fd_sc_hd__and2b_1) + 0.30 15.24 ^ mgmt_buffers/la_buf[71]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.24 ^ mprj/la_data_in[56] (user_project_wrapper) + 15.24 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38515_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[49] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.52 ^ soc/core/_38515_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.88 13.41 ^ soc/core/_38515_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.27 13.67 v soc/core/_32245_/Y (sky130_fd_sc_hd__inv_2) + 0.29 13.97 v soc/core/output483/X (sky130_fd_sc_hd__clkbuf_4) + 0.38 14.35 v mgmt_buffers/input491/X (sky130_fd_sc_hd__clkbuf_4) + 0.57 14.92 ^ mgmt_buffers/la_buf_enable[78]/X (sky130_fd_sc_hd__and2b_1) + 0.30 15.22 ^ mgmt_buffers/la_buf[78]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.22 ^ mprj/la_data_in[49] (user_project_wrapper) + 15.22 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38502_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[62] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.52 ^ soc/core/_38502_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.96 13.47 ^ soc/core/_38502_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.22 13.69 v soc/core/_32232_/Y (sky130_fd_sc_hd__inv_2) + 0.26 13.95 v soc/core/output469/X (sky130_fd_sc_hd__clkbuf_4) + 0.35 14.30 v mgmt_buffers/input477/X (sky130_fd_sc_hd__clkbuf_2) + 0.60 14.90 ^ mgmt_buffers/la_buf_enable[65]/X (sky130_fd_sc_hd__and2b_1) + 0.30 15.20 ^ mgmt_buffers/la_buf[65]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.20 ^ mprj/la_data_in[62] (user_project_wrapper) + 15.20 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38511_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[53] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.53 ^ soc/core/_38511_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.92 13.44 ^ soc/core/_38511_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.24 13.68 v soc/core/_32241_/Y (sky130_fd_sc_hd__inv_2) + 0.27 13.96 v soc/core/output479/X (sky130_fd_sc_hd__clkbuf_4) + 0.39 14.35 v mgmt_buffers/input487/X (sky130_fd_sc_hd__buf_2) + 0.55 14.90 ^ mgmt_buffers/la_buf_enable[74]/X (sky130_fd_sc_hd__and2b_1) + 0.29 15.19 ^ mgmt_buffers/la_buf[74]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.19 ^ mprj/la_data_in[53] (user_project_wrapper) + 15.19 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38507_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[57] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.52 ^ soc/core/_38507_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.89 13.40 ^ soc/core/_38507_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.22 13.62 v soc/core/_32237_/Y (sky130_fd_sc_hd__inv_2) + 0.26 13.89 v soc/core/output475/X (sky130_fd_sc_hd__clkbuf_4) + 0.40 14.28 v mgmt_buffers/input483/X (sky130_fd_sc_hd__buf_2) + 0.60 14.88 ^ mgmt_buffers/la_buf_enable[70]/X (sky130_fd_sc_hd__and2b_1) + 0.31 15.19 ^ mgmt_buffers/la_buf[70]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.19 ^ mprj/la_data_in[57] (user_project_wrapper) + 15.19 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38538_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[90] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.45 ^ soc/core/_38538_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.96 13.42 ^ soc/core/_38538_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.26 13.67 v soc/core/_21366_/Y (sky130_fd_sc_hd__inv_2) + 0.27 13.95 v soc/core/output438/X (sky130_fd_sc_hd__clkbuf_4) + 0.28 14.23 v mgmt_buffers/input446/X (sky130_fd_sc_hd__clkbuf_2) + 0.61 14.84 ^ mgmt_buffers/la_buf_enable[37]/X (sky130_fd_sc_hd__and2b_1) + 0.34 15.18 ^ mgmt_buffers/la_buf[37]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.18 ^ mprj/la_data_in[90] (user_project_wrapper) + 15.18 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38561_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[67] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.51 ^ soc/core/_38561_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.83 13.34 ^ soc/core/_38561_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.22 13.56 v soc/core/_21288_/Y (sky130_fd_sc_hd__inv_2) + 0.27 13.83 v soc/core/output464/X (sky130_fd_sc_hd__clkbuf_4) + 0.43 14.26 v mgmt_buffers/input472/X (sky130_fd_sc_hd__buf_2) + 0.61 14.87 ^ mgmt_buffers/la_buf_enable[60]/X (sky130_fd_sc_hd__and2b_1) + 0.30 15.18 ^ mgmt_buffers/la_buf[60]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.18 ^ mprj/la_data_in[67] (user_project_wrapper) + 15.18 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38570_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[122] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.42 ^ soc/core/_38570_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.97 13.39 ^ soc/core/_38570_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.35 13.74 v soc/core/_21258_/Y (sky130_fd_sc_hd__clkinv_2) + 0.31 14.04 v soc/core/output463/X (sky130_fd_sc_hd__clkbuf_4) + 0.32 14.36 v mgmt_buffers/input471/X (sky130_fd_sc_hd__buf_2) + 0.51 14.87 ^ mgmt_buffers/la_buf_enable[5]/X (sky130_fd_sc_hd__and2b_1) + 0.29 15.16 ^ mgmt_buffers/la_buf[5]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.16 ^ mprj/la_data_in[122] (user_project_wrapper) + 15.16 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38543_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[85] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.41 ^ soc/core/_38543_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.94 13.36 ^ soc/core/_38543_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.26 13.62 v soc/core/_21348_/Y (sky130_fd_sc_hd__inv_2) + 0.27 13.89 v soc/core/output444/X (sky130_fd_sc_hd__clkbuf_4) + 0.41 14.29 v mgmt_buffers/input452/X (sky130_fd_sc_hd__clkbuf_4) + 0.58 14.87 ^ mgmt_buffers/la_buf_enable[42]/X (sky130_fd_sc_hd__and2b_1) + 0.29 15.16 ^ mgmt_buffers/la_buf[42]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.16 ^ mprj/la_data_in[85] (user_project_wrapper) + 15.16 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38541_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[87] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.47 ^ soc/core/_38541_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.97 13.44 ^ soc/core/_38541_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.24 13.68 v soc/core/_21355_/Y (sky130_fd_sc_hd__inv_2) + 0.27 13.95 v soc/core/output442/X (sky130_fd_sc_hd__clkbuf_4) + 0.37 14.32 v mgmt_buffers/input450/X (sky130_fd_sc_hd__buf_4) + 0.55 14.87 ^ mgmt_buffers/la_buf_enable[40]/X (sky130_fd_sc_hd__and2b_1) + 0.29 15.16 ^ mgmt_buffers/la_buf[40]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.16 ^ mprj/la_data_in[87] (user_project_wrapper) + 15.16 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38514_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[50] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.52 ^ soc/core/_38514_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.99 13.52 ^ soc/core/_38514_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.16 13.67 v soc/core/_32244_/Y (sky130_fd_sc_hd__inv_2) + 0.24 13.91 v soc/core/output482/X (sky130_fd_sc_hd__clkbuf_4) + 0.36 14.28 v mgmt_buffers/input490/X (sky130_fd_sc_hd__clkbuf_4) + 0.57 14.85 ^ mgmt_buffers/la_buf_enable[77]/X (sky130_fd_sc_hd__and2b_1) + 0.31 15.16 ^ mgmt_buffers/la_buf[77]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.16 ^ mprj/la_data_in[50] (user_project_wrapper) + 15.16 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38563_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[65] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.51 ^ soc/core/_38563_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.79 13.30 ^ soc/core/_38563_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.28 13.59 v soc/core/_21282_/Y (sky130_fd_sc_hd__clkinv_2) + 0.31 13.90 v soc/core/output466/X (sky130_fd_sc_hd__clkbuf_4) + 0.36 14.26 v mgmt_buffers/input474/X (sky130_fd_sc_hd__buf_2) + 0.55 14.81 ^ mgmt_buffers/la_buf_enable[62]/X (sky130_fd_sc_hd__and2b_1) + 0.30 15.12 ^ mgmt_buffers/la_buf[62]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.12 ^ mprj/la_data_in[65] (user_project_wrapper) + 15.12 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38553_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[75] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.48 ^ soc/core/_38553_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.91 13.39 ^ soc/core/_38553_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.24 13.63 v soc/core/_21315_/Y (sky130_fd_sc_hd__inv_2) + 0.27 13.90 v soc/core/output455/X (sky130_fd_sc_hd__clkbuf_4) + 0.33 14.23 v mgmt_buffers/input463/X (sky130_fd_sc_hd__clkbuf_2) + 0.57 14.80 ^ mgmt_buffers/la_buf_enable[52]/X (sky130_fd_sc_hd__and2b_1) + 0.30 15.10 ^ mgmt_buffers/la_buf[52]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.10 ^ mprj/la_data_in[75] (user_project_wrapper) + 15.10 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38501_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[63] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.47 ^ soc/core/_38501_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.95 13.42 ^ soc/core/_38501_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.22 13.64 v soc/core/_32231_/Y (sky130_fd_sc_hd__inv_2) + 0.26 13.89 v soc/core/output468/X (sky130_fd_sc_hd__clkbuf_4) + 0.35 14.24 v mgmt_buffers/input476/X (sky130_fd_sc_hd__buf_2) + 0.55 14.79 ^ mgmt_buffers/la_buf_enable[64]/X (sky130_fd_sc_hd__and2b_1) + 0.31 15.10 ^ mgmt_buffers/la_buf[64]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.10 ^ mprj/la_data_in[63] (user_project_wrapper) + 15.10 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38536_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[92] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.45 ^ soc/core/_38536_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.98 13.43 ^ soc/core/_38536_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.25 13.68 v soc/core/_21372_/Y (sky130_fd_sc_hd__inv_2) + 0.27 13.95 v soc/core/output436/X (sky130_fd_sc_hd__clkbuf_4) + 0.30 14.25 v mgmt_buffers/input444/X (sky130_fd_sc_hd__clkbuf_2) + 0.55 14.79 ^ mgmt_buffers/la_buf_enable[35]/X (sky130_fd_sc_hd__and2b_1) + 0.30 15.09 ^ mgmt_buffers/la_buf[35]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.09 ^ mprj/la_data_in[92] (user_project_wrapper) + 15.09 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38504_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[60] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.47 ^ soc/core/_38504_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.95 13.42 ^ soc/core/_38504_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.22 13.64 v soc/core/_32234_/Y (sky130_fd_sc_hd__inv_2) + 0.26 13.90 v soc/core/output471/X (sky130_fd_sc_hd__clkbuf_4) + 0.36 14.26 v mgmt_buffers/input479/X (sky130_fd_sc_hd__buf_4) + 0.53 14.79 ^ mgmt_buffers/la_buf_enable[67]/X (sky130_fd_sc_hd__and2b_1) + 0.29 15.08 ^ mgmt_buffers/la_buf[67]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.08 ^ mprj/la_data_in[60] (user_project_wrapper) + 15.08 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38554_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[74] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.48 ^ soc/core/_38554_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.87 13.35 ^ soc/core/_38554_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.21 13.56 v soc/core/_21312_/Y (sky130_fd_sc_hd__inv_2) + 0.26 13.82 v soc/core/output456/X (sky130_fd_sc_hd__clkbuf_4) + 0.40 14.22 v mgmt_buffers/input464/X (sky130_fd_sc_hd__buf_2) + 0.57 14.78 ^ mgmt_buffers/la_buf_enable[53]/X (sky130_fd_sc_hd__and2b_1) + 0.29 15.07 ^ mgmt_buffers/la_buf[53]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.07 ^ mprj/la_data_in[74] (user_project_wrapper) + 15.07 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38592_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[100] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.45 ^ soc/core/_38592_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.85 13.30 ^ soc/core/_38592_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.31 13.61 v soc/core/_21183_/Y (sky130_fd_sc_hd__clkinv_2) + 0.31 13.92 v soc/core/output427/X (sky130_fd_sc_hd__clkbuf_4) + 0.34 14.26 v mgmt_buffers/input435/X (sky130_fd_sc_hd__buf_2) + 0.52 14.78 ^ mgmt_buffers/la_buf_enable[27]/X (sky130_fd_sc_hd__and2b_1) + 0.29 15.07 ^ mgmt_buffers/la_buf[27]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.07 ^ mprj/la_data_in[100] (user_project_wrapper) + 15.07 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38567_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[125] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.47 ^ soc/core/_38567_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.92 13.38 ^ soc/core/_38567_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.25 13.64 v soc/core/_21267_/Y (sky130_fd_sc_hd__clkinv_2) + 0.28 13.91 v soc/core/output430/X (sky130_fd_sc_hd__clkbuf_4) + 0.34 14.25 v mgmt_buffers/input438/X (sky130_fd_sc_hd__buf_2) + 0.52 14.77 ^ mgmt_buffers/la_buf_enable[2]/X (sky130_fd_sc_hd__and2b_1) + 0.29 15.06 ^ mgmt_buffers/la_buf[2]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.06 ^ mprj/la_data_in[125] (user_project_wrapper) + 15.06 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38547_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[81] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.46 ^ soc/core/_38547_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.86 13.32 ^ soc/core/_38547_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.23 13.55 v soc/core/_21336_/Y (sky130_fd_sc_hd__inv_2) + 0.27 13.83 v soc/core/output448/X (sky130_fd_sc_hd__clkbuf_4) + 0.37 14.19 v mgmt_buffers/input456/X (sky130_fd_sc_hd__buf_4) + 0.57 14.76 ^ mgmt_buffers/la_buf_enable[46]/X (sky130_fd_sc_hd__and2b_1) + 0.30 15.06 ^ mgmt_buffers/la_buf[46]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.06 ^ mprj/la_data_in[81] (user_project_wrapper) + 15.06 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38537_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[91] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.46 ^ soc/core/_38537_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.96 13.41 ^ soc/core/_38537_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.25 13.66 v soc/core/_21369_/Y (sky130_fd_sc_hd__inv_2) + 0.28 13.94 v soc/core/output437/X (sky130_fd_sc_hd__clkbuf_4) + 0.30 14.24 v mgmt_buffers/input445/X (sky130_fd_sc_hd__clkbuf_2) + 0.53 14.77 ^ mgmt_buffers/la_buf_enable[36]/X (sky130_fd_sc_hd__and2b_1) + 0.29 15.06 ^ mgmt_buffers/la_buf[36]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.06 ^ mprj/la_data_in[91] (user_project_wrapper) + 15.06 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38503_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[61] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.52 ^ soc/core/_38503_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.88 13.40 ^ soc/core/_38503_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.17 13.57 v soc/core/_32233_/Y (sky130_fd_sc_hd__inv_2) + 0.25 13.82 v soc/core/output470/X (sky130_fd_sc_hd__clkbuf_4) + 0.36 14.18 v mgmt_buffers/input478/X (sky130_fd_sc_hd__buf_4) + 0.57 14.74 ^ mgmt_buffers/la_buf_enable[66]/X (sky130_fd_sc_hd__and2b_1) + 0.31 15.05 ^ mgmt_buffers/la_buf[66]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.05 ^ mprj/la_data_in[61] (user_project_wrapper) + 15.05 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38552_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[76] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.48 ^ soc/core/_38552_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.88 13.36 ^ soc/core/_38552_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.18 13.54 v soc/core/_21318_/Y (sky130_fd_sc_hd__inv_2) + 0.25 13.79 v soc/core/output454/X (sky130_fd_sc_hd__clkbuf_4) + 0.40 14.19 v mgmt_buffers/input462/X (sky130_fd_sc_hd__buf_2) + 0.57 14.76 ^ mgmt_buffers/la_buf_enable[51]/X (sky130_fd_sc_hd__and2b_1) + 0.29 15.05 ^ mgmt_buffers/la_buf[51]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.05 ^ mprj/la_data_in[76] (user_project_wrapper) + 15.05 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38564_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[64] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.51 ^ soc/core/_38564_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.83 13.34 ^ soc/core/_38564_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.23 13.57 v soc/core/_21276_/Y (sky130_fd_sc_hd__inv_2) + 0.28 13.84 v soc/core/output467/X (sky130_fd_sc_hd__clkbuf_4) + 0.32 14.16 v mgmt_buffers/input475/X (sky130_fd_sc_hd__clkbuf_2) + 0.57 14.74 ^ mgmt_buffers/la_buf_enable[63]/X (sky130_fd_sc_hd__and2b_1) + 0.30 15.04 ^ mgmt_buffers/la_buf[63]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.04 ^ mprj/la_data_in[64] (user_project_wrapper) + 15.04 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38535_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[93] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.46 ^ soc/core/_38535_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.94 13.40 ^ soc/core/_38535_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.22 13.62 v soc/core/_21375_/Y (sky130_fd_sc_hd__inv_2) + 0.27 13.89 v soc/core/output435/X (sky130_fd_sc_hd__clkbuf_4) + 0.31 14.19 v mgmt_buffers/input443/X (sky130_fd_sc_hd__clkbuf_2) + 0.55 14.74 ^ mgmt_buffers/la_buf_enable[34]/X (sky130_fd_sc_hd__and2b_1) + 0.29 15.04 ^ mgmt_buffers/la_buf[34]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.04 ^ mprj/la_data_in[93] (user_project_wrapper) + 15.04 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38539_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[89] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.45 ^ soc/core/_38539_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.95 13.40 ^ soc/core/_38539_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.23 13.63 v soc/core/_21363_/Y (sky130_fd_sc_hd__inv_2) + 0.27 13.90 v soc/core/output439/X (sky130_fd_sc_hd__clkbuf_4) + 0.28 14.18 v mgmt_buffers/input447/X (sky130_fd_sc_hd__clkbuf_2) + 0.55 14.73 ^ mgmt_buffers/la_buf_enable[38]/X (sky130_fd_sc_hd__and2b_1) + 0.30 15.03 ^ mgmt_buffers/la_buf[38]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.03 ^ mprj/la_data_in[89] (user_project_wrapper) + 15.03 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38542_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[86] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.47 ^ soc/core/_38542_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.92 13.38 ^ soc/core/_38542_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.22 13.60 v soc/core/_21352_/Y (sky130_fd_sc_hd__inv_2) + 0.26 13.87 v soc/core/output443/X (sky130_fd_sc_hd__clkbuf_4) + 0.31 14.17 v mgmt_buffers/input451/X (sky130_fd_sc_hd__clkbuf_2) + 0.55 14.73 ^ mgmt_buffers/la_buf_enable[41]/X (sky130_fd_sc_hd__and2b_1) + 0.30 15.02 ^ mgmt_buffers/la_buf[41]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.02 ^ mprj/la_data_in[86] (user_project_wrapper) + 15.02 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38505_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[59] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.52 ^ soc/core/_38505_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.89 13.40 ^ soc/core/_38505_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.18 13.58 v soc/core/_32235_/Y (sky130_fd_sc_hd__inv_2) + 0.25 13.83 v soc/core/output472/X (sky130_fd_sc_hd__clkbuf_4) + 0.32 14.15 v mgmt_buffers/input480/X (sky130_fd_sc_hd__clkbuf_2) + 0.57 14.72 ^ mgmt_buffers/la_buf_enable[68]/X (sky130_fd_sc_hd__and2b_1) + 0.31 15.02 ^ mgmt_buffers/la_buf[68]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.02 ^ mprj/la_data_in[59] (user_project_wrapper) + 15.02 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38571_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[121] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.42 ^ soc/core/_38571_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.96 13.39 ^ soc/core/_38571_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.25 13.64 v soc/core/_21255_/Y (sky130_fd_sc_hd__inv_2) + 0.27 13.91 v soc/core/output474/X (sky130_fd_sc_hd__clkbuf_4) + 0.29 14.19 v mgmt_buffers/input482/X (sky130_fd_sc_hd__clkbuf_2) + 0.54 14.73 ^ mgmt_buffers/la_buf_enable[6]/X (sky130_fd_sc_hd__and2b_1) + 0.29 15.02 ^ mgmt_buffers/la_buf[6]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.02 ^ mprj/la_data_in[121] (user_project_wrapper) + 15.02 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38558_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[70] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.50 ^ soc/core/_38558_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.89 13.39 ^ soc/core/_38558_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.24 13.63 v soc/core/_21298_/Y (sky130_fd_sc_hd__inv_2) + 0.27 13.90 v soc/core/output460/X (sky130_fd_sc_hd__clkbuf_4) + 0.29 14.19 v mgmt_buffers/input468/X (sky130_fd_sc_hd__clkbuf_2) + 0.54 14.73 ^ mgmt_buffers/la_buf_enable[57]/X (sky130_fd_sc_hd__and2b_1) + 0.29 15.02 ^ mgmt_buffers/la_buf[57]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.02 ^ mprj/la_data_in[70] (user_project_wrapper) + 15.02 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38581_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[111] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.42 ^ soc/core/_38581_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.83 13.25 ^ soc/core/_38581_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.30 13.55 v soc/core/_21219_/Y (sky130_fd_sc_hd__clkinv_2) + 0.32 13.88 v soc/core/output415/X (sky130_fd_sc_hd__clkbuf_4) + 0.31 14.19 v mgmt_buffers/input423/X (sky130_fd_sc_hd__clkbuf_2) + 0.54 14.73 ^ mgmt_buffers/la_buf_enable[16]/X (sky130_fd_sc_hd__and2b_1) + 0.29 15.02 ^ mgmt_buffers/la_buf[16]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.02 ^ mprj/la_data_in[111] (user_project_wrapper) + 15.02 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38548_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[80] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.46 ^ soc/core/_38548_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.85 13.32 ^ soc/core/_38548_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.23 13.55 v soc/core/_21331_/Y (sky130_fd_sc_hd__inv_2) + 0.27 13.82 v soc/core/output449/X (sky130_fd_sc_hd__clkbuf_4) + 0.37 14.19 v mgmt_buffers/input457/X (sky130_fd_sc_hd__buf_4) + 0.54 14.73 ^ mgmt_buffers/la_buf_enable[47]/X (sky130_fd_sc_hd__and2b_1) + 0.29 15.02 ^ mgmt_buffers/la_buf[47]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.02 ^ mprj/la_data_in[80] (user_project_wrapper) + 15.02 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38545_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[83] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.47 ^ soc/core/_38545_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.88 13.35 ^ soc/core/_38545_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.24 13.59 v soc/core/_21342_/Y (sky130_fd_sc_hd__inv_2) + 0.27 13.86 v soc/core/output446/X (sky130_fd_sc_hd__clkbuf_4) + 0.34 14.20 v mgmt_buffers/input454/X (sky130_fd_sc_hd__buf_2) + 0.52 14.72 ^ mgmt_buffers/la_buf_enable[44]/X (sky130_fd_sc_hd__and2b_1) + 0.29 15.01 ^ mgmt_buffers/la_buf[44]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.01 ^ mprj/la_data_in[83] (user_project_wrapper) + 15.01 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38559_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[69] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.40 ^ soc/core/_38559_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.82 13.23 ^ soc/core/_38559_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.22 13.45 v soc/core/_21294_/Y (sky130_fd_sc_hd__inv_2) + 0.27 13.72 v soc/core/output461/X (sky130_fd_sc_hd__clkbuf_4) + 0.41 14.13 v mgmt_buffers/input469/X (sky130_fd_sc_hd__buf_2) + 0.58 14.71 ^ mgmt_buffers/la_buf_enable[58]/X (sky130_fd_sc_hd__and2b_1) + 0.29 15.00 ^ mgmt_buffers/la_buf[58]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.00 ^ mprj/la_data_in[69] (user_project_wrapper) + 15.00 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38593_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[99] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.42 ^ soc/core/_38593_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.83 13.25 ^ soc/core/_38593_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.23 13.48 v soc/core/_21180_/Y (sky130_fd_sc_hd__inv_2) + 0.27 13.75 v soc/core/output428/X (sky130_fd_sc_hd__clkbuf_4) + 0.38 14.14 v mgmt_buffers/input436/X (sky130_fd_sc_hd__clkbuf_4) + 0.57 14.71 ^ mgmt_buffers/la_buf_enable[28]/X (sky130_fd_sc_hd__and2b_1) + 0.29 15.00 ^ mgmt_buffers/la_buf[28]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.00 ^ mprj/la_data_in[99] (user_project_wrapper) + 15.00 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38573_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[119] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.42 ^ soc/core/_38573_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.89 13.31 ^ soc/core/_38573_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.29 13.60 v soc/core/_21246_/Y (sky130_fd_sc_hd__inv_2) + 0.30 13.90 v soc/core/output496/X (sky130_fd_sc_hd__clkbuf_4) + 0.31 14.21 v mgmt_buffers/input504/X (sky130_fd_sc_hd__buf_2) + 0.50 14.71 ^ mgmt_buffers/la_buf_enable[8]/X (sky130_fd_sc_hd__and2b_1) + 0.29 14.99 ^ mgmt_buffers/la_buf[8]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.99 ^ mprj/la_data_in[119] (user_project_wrapper) + 14.99 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38506_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[58] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.47 ^ soc/core/_38506_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.91 13.38 ^ soc/core/_38506_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.18 13.56 v soc/core/_32236_/Y (sky130_fd_sc_hd__inv_2) + 0.25 13.81 v soc/core/output473/X (sky130_fd_sc_hd__clkbuf_4) + 0.33 14.14 v mgmt_buffers/input481/X (sky130_fd_sc_hd__clkbuf_2) + 0.56 14.69 ^ mgmt_buffers/la_buf_enable[69]/X (sky130_fd_sc_hd__and2b_1) + 0.29 14.99 ^ mgmt_buffers/la_buf[69]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.99 ^ mprj/la_data_in[58] (user_project_wrapper) + 14.99 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38556_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[72] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.48 ^ soc/core/_38556_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.88 13.37 ^ soc/core/_38556_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.20 13.57 v soc/core/_21304_/Y (sky130_fd_sc_hd__inv_2) + 0.26 13.83 v soc/core/output458/X (sky130_fd_sc_hd__clkbuf_4) + 0.35 14.17 v mgmt_buffers/input466/X (sky130_fd_sc_hd__buf_2) + 0.52 14.70 ^ mgmt_buffers/la_buf_enable[55]/X (sky130_fd_sc_hd__and2b_1) + 0.29 14.99 ^ mgmt_buffers/la_buf[55]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.99 ^ mprj/la_data_in[72] (user_project_wrapper) + 14.99 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38590_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[102] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.42 ^ soc/core/_38590_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.86 13.28 ^ soc/core/_38590_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.21 13.49 v soc/core/_21189_/Y (sky130_fd_sc_hd__inv_2) + 0.26 13.74 v soc/core/output425/X (sky130_fd_sc_hd__clkbuf_4) + 0.38 14.12 v mgmt_buffers/input433/X (sky130_fd_sc_hd__buf_2) + 0.56 14.68 ^ mgmt_buffers/la_buf_enable[25]/X (sky130_fd_sc_hd__and2b_1) + 0.29 14.97 ^ mgmt_buffers/la_buf[25]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.97 ^ mprj/la_data_in[102] (user_project_wrapper) + 14.97 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38595_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[97] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.45 ^ soc/core/_38595_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.84 13.29 ^ soc/core/_38595_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.21 13.50 v soc/core/_21174_/Y (sky130_fd_sc_hd__inv_2) + 0.26 13.75 v soc/core/output431/X (sky130_fd_sc_hd__clkbuf_4) + 0.37 14.12 v mgmt_buffers/input439/X (sky130_fd_sc_hd__buf_2) + 0.55 14.67 ^ mgmt_buffers/la_buf_enable[30]/X (sky130_fd_sc_hd__and2b_1) + 0.30 14.97 ^ mgmt_buffers/la_buf[30]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.97 ^ mprj/la_data_in[97] (user_project_wrapper) + 14.97 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38572_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[120] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.42 ^ soc/core/_38572_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.94 13.36 ^ soc/core/_38572_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.21 13.57 v soc/core/_21249_/Y (sky130_fd_sc_hd__inv_2) + 0.26 13.83 v soc/core/output485/X (sky130_fd_sc_hd__clkbuf_4) + 0.33 14.16 v mgmt_buffers/input493/X (sky130_fd_sc_hd__buf_2) + 0.52 14.68 ^ mgmt_buffers/la_buf_enable[7]/X (sky130_fd_sc_hd__and2b_1) + 0.29 14.97 ^ mgmt_buffers/la_buf[7]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.97 ^ mprj/la_data_in[120] (user_project_wrapper) + 14.97 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38586_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[106] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.42 ^ soc/core/_38586_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.88 13.30 ^ soc/core/_38586_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.21 13.52 v soc/core/_21204_/Y (sky130_fd_sc_hd__inv_2) + 0.26 13.78 v soc/core/output421/X (sky130_fd_sc_hd__clkbuf_4) + 0.36 14.13 v mgmt_buffers/input429/X (sky130_fd_sc_hd__buf_2) + 0.54 14.67 ^ mgmt_buffers/la_buf_enable[21]/X (sky130_fd_sc_hd__and2b_1) + 0.29 14.97 ^ mgmt_buffers/la_buf[21]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.97 ^ mprj/la_data_in[106] (user_project_wrapper) + 14.97 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38589_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[103] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.42 ^ soc/core/_38589_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.83 13.25 ^ soc/core/_38589_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.21 13.46 v soc/core/_21192_/Y (sky130_fd_sc_hd__inv_2) + 0.26 13.72 v soc/core/output424/X (sky130_fd_sc_hd__clkbuf_4) + 0.38 14.10 v mgmt_buffers/input432/X (sky130_fd_sc_hd__clkbuf_4) + 0.55 14.65 ^ mgmt_buffers/la_buf_enable[24]/X (sky130_fd_sc_hd__and2b_1) + 0.29 14.94 ^ mgmt_buffers/la_buf[24]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.94 ^ mprj/la_data_in[103] (user_project_wrapper) + 14.94 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38550_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[78] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.47 ^ soc/core/_38550_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.82 13.29 ^ soc/core/_38550_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.16 13.45 v soc/core/_21325_/Y (sky130_fd_sc_hd__inv_2) + 0.24 13.69 v soc/core/output451/X (sky130_fd_sc_hd__clkbuf_4) + 0.39 14.08 v mgmt_buffers/input459/X (sky130_fd_sc_hd__clkbuf_4) + 0.56 14.64 ^ mgmt_buffers/la_buf_enable[49]/X (sky130_fd_sc_hd__and2b_1) + 0.29 14.93 ^ mgmt_buffers/la_buf[49]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.93 ^ mprj/la_data_in[78] (user_project_wrapper) + 14.93 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38596_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[96] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.45 ^ soc/core/_38596_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.84 13.29 ^ soc/core/_38596_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.19 13.48 v soc/core/_21166_/Y (sky130_fd_sc_hd__inv_2) + 0.25 13.73 v soc/core/output432/X (sky130_fd_sc_hd__clkbuf_4) + 0.34 14.08 v mgmt_buffers/input440/X (sky130_fd_sc_hd__buf_2) + 0.55 14.62 ^ mgmt_buffers/la_buf_enable[31]/X (sky130_fd_sc_hd__and2b_1) + 0.30 14.93 ^ mgmt_buffers/la_buf[31]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.93 ^ mprj/la_data_in[96] (user_project_wrapper) + 14.93 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38574_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[118] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.42 ^ soc/core/_38574_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.85 13.27 ^ soc/core/_38574_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.27 13.54 v soc/core/_21243_/Y (sky130_fd_sc_hd__inv_2) + 0.30 13.84 v soc/core/output507/X (sky130_fd_sc_hd__clkbuf_4) + 0.27 14.11 v mgmt_buffers/input515/X (sky130_fd_sc_hd__clkbuf_2) + 0.52 14.63 ^ mgmt_buffers/la_buf_enable[9]/X (sky130_fd_sc_hd__and2b_1) + 0.30 14.92 ^ mgmt_buffers/la_buf[9]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.92 ^ mprj/la_data_in[118] (user_project_wrapper) + 14.92 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38576_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[116] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.42 ^ soc/core/_38576_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.89 13.32 ^ soc/core/_38576_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.20 13.52 v soc/core/_21237_/Y (sky130_fd_sc_hd__inv_2) + 0.26 13.78 v soc/core/output402/X (sky130_fd_sc_hd__clkbuf_4) + 0.30 14.08 v mgmt_buffers/input410/X (sky130_fd_sc_hd__clkbuf_2) + 0.54 14.62 ^ mgmt_buffers/la_buf_enable[11]/X (sky130_fd_sc_hd__and2b_1) + 0.29 14.91 ^ mgmt_buffers/la_buf[11]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.91 ^ mprj/la_data_in[116] (user_project_wrapper) + 14.91 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38594_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[98] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.42 ^ soc/core/_38594_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.85 13.27 ^ soc/core/_38594_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.17 13.45 v soc/core/_21177_/Y (sky130_fd_sc_hd__inv_2) + 0.25 13.69 v soc/core/output429/X (sky130_fd_sc_hd__clkbuf_4) + 0.37 14.06 v mgmt_buffers/input437/X (sky130_fd_sc_hd__buf_2) + 0.55 14.61 ^ mgmt_buffers/la_buf_enable[29]/X (sky130_fd_sc_hd__and2b_1) + 0.29 14.90 ^ mgmt_buffers/la_buf[29]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.90 ^ mprj/la_data_in[98] (user_project_wrapper) + 14.90 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38583_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[109] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.39 ^ soc/core/_38583_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.89 13.28 ^ soc/core/_38583_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.19 13.47 v soc/core/_21213_/Y (sky130_fd_sc_hd__inv_2) + 0.25 13.72 v soc/core/output417/X (sky130_fd_sc_hd__clkbuf_4) + 0.32 14.05 v mgmt_buffers/input425/X (sky130_fd_sc_hd__clkbuf_2) + 0.56 14.60 ^ mgmt_buffers/la_buf_enable[18]/X (sky130_fd_sc_hd__and2b_1) + 0.29 14.90 ^ mgmt_buffers/la_buf[18]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.90 ^ mprj/la_data_in[109] (user_project_wrapper) + 14.90 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38591_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[101] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.45 ^ soc/core/_38591_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.88 13.33 ^ soc/core/_38591_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.23 13.56 v soc/core/_21186_/Y (sky130_fd_sc_hd__inv_2) + 0.27 13.82 v soc/core/output426/X (sky130_fd_sc_hd__clkbuf_4) + 0.26 14.09 v mgmt_buffers/input434/X (sky130_fd_sc_hd__clkbuf_2) + 0.52 14.60 ^ mgmt_buffers/la_buf_enable[26]/X (sky130_fd_sc_hd__and2b_1) + 0.29 14.89 ^ mgmt_buffers/la_buf[26]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.89 ^ mprj/la_data_in[101] (user_project_wrapper) + 14.89 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38549_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[79] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.47 ^ soc/core/_38549_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.81 13.28 ^ soc/core/_38549_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.17 13.45 v soc/core/_21328_/Y (sky130_fd_sc_hd__inv_2) + 0.25 13.70 v soc/core/output450/X (sky130_fd_sc_hd__clkbuf_4) + 0.37 14.06 v mgmt_buffers/input458/X (sky130_fd_sc_hd__buf_2) + 0.54 14.60 ^ mgmt_buffers/la_buf_enable[48]/X (sky130_fd_sc_hd__and2b_1) + 0.29 14.89 ^ mgmt_buffers/la_buf[48]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.89 ^ mprj/la_data_in[79] (user_project_wrapper) + 14.89 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38580_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[112] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.42 ^ soc/core/_38580_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.91 13.33 ^ soc/core/_38580_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.17 13.50 v soc/core/_21222_/Y (sky130_fd_sc_hd__inv_2) + 0.25 13.75 v soc/core/output414/X (sky130_fd_sc_hd__clkbuf_4) + 0.29 14.04 v mgmt_buffers/input422/X (sky130_fd_sc_hd__clkbuf_2) + 0.55 14.58 ^ mgmt_buffers/la_buf_enable[15]/X (sky130_fd_sc_hd__and2b_1) + 0.30 14.88 ^ mgmt_buffers/la_buf[15]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.88 ^ mprj/la_data_in[112] (user_project_wrapper) + 14.88 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38584_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[108] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.42 ^ soc/core/_38584_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.88 13.30 ^ soc/core/_38584_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.18 13.49 v soc/core/_21210_/Y (sky130_fd_sc_hd__inv_2) + 0.25 13.74 v soc/core/output418/X (sky130_fd_sc_hd__clkbuf_4) + 0.30 14.04 v mgmt_buffers/input426/X (sky130_fd_sc_hd__clkbuf_2) + 0.54 14.58 ^ mgmt_buffers/la_buf_enable[19]/X (sky130_fd_sc_hd__and2b_1) + 0.29 14.88 ^ mgmt_buffers/la_buf[19]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.88 ^ mprj/la_data_in[108] (user_project_wrapper) + 14.88 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38575_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[117] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.42 ^ soc/core/_38575_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.88 13.31 ^ soc/core/_38575_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.20 13.51 v soc/core/_21240_/Y (sky130_fd_sc_hd__inv_2) + 0.26 13.77 v soc/core/output391/X (sky130_fd_sc_hd__clkbuf_4) + 0.28 14.05 v mgmt_buffers/input399/X (sky130_fd_sc_hd__clkbuf_2) + 0.53 14.58 ^ mgmt_buffers/la_buf_enable[10]/X (sky130_fd_sc_hd__and2b_1) + 0.29 14.87 ^ mgmt_buffers/la_buf[10]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.87 ^ mprj/la_data_in[117] (user_project_wrapper) + 14.87 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38579_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[113] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.42 ^ soc/core/_38579_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.91 13.33 ^ soc/core/_38579_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.17 13.50 v soc/core/_21228_/Y (sky130_fd_sc_hd__inv_2) + 0.25 13.75 v soc/core/output413/X (sky130_fd_sc_hd__clkbuf_4) + 0.33 14.07 v mgmt_buffers/input421/X (sky130_fd_sc_hd__buf_2) + 0.51 14.58 ^ mgmt_buffers/la_buf_enable[14]/X (sky130_fd_sc_hd__and2b_1) + 0.29 14.86 ^ mgmt_buffers/la_buf[14]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.86 ^ mprj/la_data_in[113] (user_project_wrapper) + 14.86 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38582_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[110] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.42 ^ soc/core/_38582_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.85 13.27 ^ soc/core/_38582_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.18 13.45 v soc/core/_21216_/Y (sky130_fd_sc_hd__inv_2) + 0.25 13.70 v soc/core/output416/X (sky130_fd_sc_hd__clkbuf_4) + 0.35 14.04 v mgmt_buffers/input424/X (sky130_fd_sc_hd__buf_2) + 0.53 14.57 ^ mgmt_buffers/la_buf_enable[17]/X (sky130_fd_sc_hd__and2b_1) + 0.29 14.86 ^ mgmt_buffers/la_buf[17]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.86 ^ mprj/la_data_in[110] (user_project_wrapper) + 14.86 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38585_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[107] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.42 ^ soc/core/_38585_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.86 13.28 ^ soc/core/_38585_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.18 13.46 v soc/core/_21207_/Y (sky130_fd_sc_hd__inv_2) + 0.25 13.71 v soc/core/output420/X (sky130_fd_sc_hd__clkbuf_4) + 0.30 14.01 v mgmt_buffers/input428/X (sky130_fd_sc_hd__clkbuf_2) + 0.55 14.56 ^ mgmt_buffers/la_buf_enable[20]/X (sky130_fd_sc_hd__and2b_1) + 0.29 14.85 ^ mgmt_buffers/la_buf[20]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.85 ^ mprj/la_data_in[107] (user_project_wrapper) + 14.85 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38569_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[123] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.40 ^ soc/core/_38569_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.90 13.30 ^ soc/core/_38569_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.18 13.48 v soc/core/_21261_/Y (sky130_fd_sc_hd__inv_2) + 0.25 13.73 v soc/core/output452/X (sky130_fd_sc_hd__clkbuf_4) + 0.33 14.06 v mgmt_buffers/input460/X (sky130_fd_sc_hd__buf_2) + 0.51 14.57 ^ mgmt_buffers/la_buf_enable[4]/X (sky130_fd_sc_hd__and2b_1) + 0.29 14.85 ^ mgmt_buffers/la_buf[4]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.85 ^ mprj/la_data_in[123] (user_project_wrapper) + 14.85 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38577_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[115] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.42 ^ soc/core/_38577_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.84 13.25 ^ soc/core/_38577_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.19 13.44 v soc/core/_21234_/Y (sky130_fd_sc_hd__inv_2) + 0.25 13.70 v soc/core/output411/X (sky130_fd_sc_hd__clkbuf_4) + 0.33 14.03 v mgmt_buffers/input419/X (sky130_fd_sc_hd__buf_2) + 0.52 14.55 ^ mgmt_buffers/la_buf_enable[12]/X (sky130_fd_sc_hd__and2b_1) + 0.29 14.84 ^ mgmt_buffers/la_buf[12]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.84 ^ mprj/la_data_in[115] (user_project_wrapper) + 14.84 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38544_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[84] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.41 ^ soc/core/_38544_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.80 13.21 ^ soc/core/_38544_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.17 13.38 v soc/core/_21345_/Y (sky130_fd_sc_hd__inv_2) + 0.25 13.62 v soc/core/output445/X (sky130_fd_sc_hd__clkbuf_4) + 0.37 13.99 v mgmt_buffers/input453/X (sky130_fd_sc_hd__buf_4) + 0.54 14.54 ^ mgmt_buffers/la_buf_enable[43]/X (sky130_fd_sc_hd__and2b_1) + 0.30 14.84 ^ mgmt_buffers/la_buf[43]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.84 ^ mprj/la_data_in[84] (user_project_wrapper) + 14.84 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38568_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[124] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.40 ^ soc/core/_38568_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.89 13.29 ^ soc/core/_38568_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.16 13.45 v soc/core/_21264_/Y (sky130_fd_sc_hd__inv_2) + 0.24 13.70 v soc/core/output441/X (sky130_fd_sc_hd__clkbuf_4) + 0.29 13.98 v mgmt_buffers/input449/X (sky130_fd_sc_hd__clkbuf_2) + 0.54 14.53 ^ mgmt_buffers/la_buf_enable[3]/X (sky130_fd_sc_hd__and2b_1) + 0.30 14.83 ^ mgmt_buffers/la_buf[3]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.83 ^ mprj/la_data_in[124] (user_project_wrapper) + 14.83 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38587_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[105] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.42 ^ soc/core/_38587_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.83 13.26 ^ soc/core/_38587_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.17 13.42 v soc/core/_21201_/Y (sky130_fd_sc_hd__inv_2) + 0.25 13.67 v soc/core/output422/X (sky130_fd_sc_hd__clkbuf_4) + 0.32 13.99 v mgmt_buffers/input430/X (sky130_fd_sc_hd__clkbuf_2) + 0.55 14.54 ^ mgmt_buffers/la_buf_enable[22]/X (sky130_fd_sc_hd__and2b_1) + 0.29 14.82 ^ mgmt_buffers/la_buf[22]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.82 ^ mprj/la_data_in[105] (user_project_wrapper) + 14.82 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38578_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[114] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.42 ^ soc/core/_38578_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.85 13.27 ^ soc/core/_38578_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.16 13.43 v soc/core/_21231_/Y (sky130_fd_sc_hd__inv_2) + 0.24 13.67 v soc/core/output412/X (sky130_fd_sc_hd__clkbuf_4) + 0.29 13.96 v mgmt_buffers/input420/X (sky130_fd_sc_hd__clkbuf_2) + 0.53 14.50 ^ mgmt_buffers/la_buf_enable[13]/X (sky130_fd_sc_hd__and2b_1) + 0.29 14.79 ^ mgmt_buffers/la_buf[13]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.79 ^ mprj/la_data_in[114] (user_project_wrapper) + 14.79 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38461_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[71] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.42 ^ soc/core/_38461_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.92 13.34 ^ soc/core/_38461_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.32 13.65 ^ soc/core/_32448_/X (sky130_fd_sc_hd__clkbuf_1) + 0.26 13.91 ^ soc/core/output587/X (sky130_fd_sc_hd__clkbuf_4) + 0.41 14.32 ^ mgmt_buffers/input211/X (sky130_fd_sc_hd__clkbuf_2) + 0.31 14.63 v mgmt_buffers/_520_/Y (sky130_fd_sc_hd__clkinv_2) + 0.12 14.75 ^ mgmt_buffers/la_buf[56]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.75 ^ mprj/la_data_in[71] (user_project_wrapper) + 14.75 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38546_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[82] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.41 ^ soc/core/_38546_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.72 13.13 ^ soc/core/_38546_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.12 13.26 v soc/core/_21339_/Y (sky130_fd_sc_hd__inv_2) + 0.24 13.50 v soc/core/output447/X (sky130_fd_sc_hd__clkbuf_4) + 0.31 13.81 v mgmt_buffers/input455/X (sky130_fd_sc_hd__clkbuf_2) + 0.56 14.37 ^ mgmt_buffers/la_buf_enable[45]/X (sky130_fd_sc_hd__and2b_1) + 0.30 14.67 ^ mgmt_buffers/la_buf[45]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.67 ^ mprj/la_data_in[82] (user_project_wrapper) + 14.67 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39196_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[18] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.84 ^ soc/core/_39196_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.71 13.55 v soc/core/_39196_/Q (sky130_fd_sc_hd__dfxtp_1) + 1.24 14.80 v soc/core/hold2666/X (sky130_fd_sc_hd__dlygate4sd3_1) + 0.18 14.97 ^ soc/core/_19114_/Y (sky130_fd_sc_hd__inv_2) + 0.25 15.23 ^ soc/core/output390/X (sky130_fd_sc_hd__clkbuf_4) + 0.40 15.63 ^ mgmt_buffers/input398/X (sky130_fd_sc_hd__clkbuf_4) + 0.21 15.84 v mgmt_buffers/_372_/Y (sky130_fd_sc_hd__inv_2) + 0.10 15.94 ^ mgmt_buffers/user_to_mprj_oen_buffers[109]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.94 ^ mprj/la_oenb[18] (user_project_wrapper) + 15.94 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38560_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[68] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.50 ^ soc/core/_38560_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.82 13.32 ^ soc/core/_38560_/Q (sky130_fd_sc_hd__dfxtp_2) + 1.19 14.50 ^ soc/core/hold2653/X (sky130_fd_sc_hd__dlygate4sd3_1) + 0.25 14.76 v soc/core/_21291_/Y (sky130_fd_sc_hd__clkinv_2) + 0.32 15.08 v soc/core/output462/X (sky130_fd_sc_hd__clkbuf_4) + 0.30 15.38 v mgmt_buffers/input470/X (sky130_fd_sc_hd__clkbuf_2) + 0.36 15.74 ^ mgmt_buffers/_651_/Y (sky130_fd_sc_hd__inv_2) + 0.11 15.85 v mgmt_buffers/user_to_mprj_oen_buffers[59]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.85 v mprj/la_oenb[68] (user_project_wrapper) + 15.85 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38555_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[73] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.48 ^ soc/core/_38555_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.74 13.22 v soc/core/_38555_/Q (sky130_fd_sc_hd__dfxtp_2) + 1.24 14.46 v soc/core/hold1993/X (sky130_fd_sc_hd__dlygate4sd3_1) + 0.18 14.64 ^ soc/core/_21309_/Y (sky130_fd_sc_hd__inv_2) + 0.26 14.89 ^ soc/core/output457/X (sky130_fd_sc_hd__clkbuf_4) + 0.43 15.33 ^ mgmt_buffers/input465/X (sky130_fd_sc_hd__clkbuf_2) + 0.35 15.67 v mgmt_buffers/_646_/Y (sky130_fd_sc_hd__inv_2) + 0.15 15.82 ^ mgmt_buffers/user_to_mprj_oen_buffers[54]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.82 ^ mprj/la_oenb[73] (user_project_wrapper) + 15.82 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38551_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[77] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.48 ^ soc/core/_38551_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.89 13.37 ^ soc/core/_38551_/Q (sky130_fd_sc_hd__dfxtp_2) + 1.24 14.61 ^ soc/core/hold2359/X (sky130_fd_sc_hd__dlygate4sd3_1) + 0.13 14.74 v soc/core/_21321_/Y (sky130_fd_sc_hd__inv_2) + 0.24 14.98 v soc/core/output453/X (sky130_fd_sc_hd__clkbuf_4) + 0.36 15.35 v mgmt_buffers/input461/X (sky130_fd_sc_hd__clkbuf_2) + 0.36 15.71 ^ mgmt_buffers/_642_/Y (sky130_fd_sc_hd__inv_2) + 0.10 15.81 v mgmt_buffers/user_to_mprj_oen_buffers[50]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.81 v mprj/la_oenb[77] (user_project_wrapper) + 15.81 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38562_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[66] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.51 ^ soc/core/_38562_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.74 13.25 v soc/core/_38562_/Q (sky130_fd_sc_hd__dfxtp_2) + 1.25 14.50 v soc/core/hold2592/X (sky130_fd_sc_hd__dlygate4sd3_1) + 0.20 14.70 ^ soc/core/_21285_/Y (sky130_fd_sc_hd__inv_2) + 0.27 14.97 ^ soc/core/output465/X (sky130_fd_sc_hd__clkbuf_4) + 0.38 15.35 ^ mgmt_buffers/input473/X (sky130_fd_sc_hd__buf_2) + 0.22 15.57 v mgmt_buffers/_653_/Y (sky130_fd_sc_hd__inv_2) + 0.10 15.67 ^ mgmt_buffers/user_to_mprj_oen_buffers[61]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.67 ^ mprj/la_oenb[66] (user_project_wrapper) + 15.67 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39187_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[27] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.00 ^ soc/core/_39187_/CLK (sky130_fd_sc_hd__dfxtp_4) + 1.17 14.17 ^ soc/core/_39187_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.46 14.62 v soc/core/_19145_/Y (sky130_fd_sc_hd__clkinv_2) + 0.33 14.96 v soc/core/output381/X (sky130_fd_sc_hd__clkbuf_4) + 0.38 15.34 v mgmt_buffers/input389/X (sky130_fd_sc_hd__clkbuf_4) + 0.25 15.59 ^ mgmt_buffers/_363_/Y (sky130_fd_sc_hd__inv_2) + 0.08 15.66 v mgmt_buffers/user_to_mprj_oen_buffers[100]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.66 v mprj/la_oenb[27] (user_project_wrapper) + 15.66 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39184_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[30] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.04 ^ soc/core/_39184_/CLK (sky130_fd_sc_hd__dfxtp_4) + 1.13 14.17 ^ soc/core/_39184_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.45 14.61 v soc/core/_19154_/Y (sky130_fd_sc_hd__clkinv_2) + 0.34 14.95 v soc/core/output504/X (sky130_fd_sc_hd__clkbuf_4) + 0.35 15.30 v mgmt_buffers/input512/X (sky130_fd_sc_hd__clkbuf_4) + 0.22 15.52 ^ mgmt_buffers/_360_/Y (sky130_fd_sc_hd__inv_2) + 0.08 15.60 v mgmt_buffers/user_to_mprj_oen_buffers[97]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.60 v mprj/la_oenb[30] (user_project_wrapper) + 15.60 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39190_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[24] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.98 ^ soc/core/_39190_/CLK (sky130_fd_sc_hd__dfxtp_4) + 1.23 14.21 ^ soc/core/_39190_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.34 14.55 v soc/core/_19134_/Y (sky130_fd_sc_hd__inv_2) + 0.30 14.85 v soc/core/output384/X (sky130_fd_sc_hd__clkbuf_4) + 0.39 15.24 v mgmt_buffers/input392/X (sky130_fd_sc_hd__clkbuf_4) + 0.24 15.47 ^ mgmt_buffers/_366_/Y (sky130_fd_sc_hd__clkinv_2) + 0.08 15.55 v mgmt_buffers/user_to_mprj_oen_buffers[103]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.55 v mprj/la_oenb[24] (user_project_wrapper) + 15.55 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38588_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[104] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.42 ^ soc/core/_38588_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.71 13.14 v soc/core/_38588_/Q (sky130_fd_sc_hd__dfxtp_2) + 1.24 14.37 v soc/core/hold2529/X (sky130_fd_sc_hd__dlygate4sd3_1) + 0.18 14.56 ^ soc/core/_21195_/Y (sky130_fd_sc_hd__inv_2) + 0.25 14.81 ^ soc/core/output423/X (sky130_fd_sc_hd__clkbuf_4) + 0.34 15.15 ^ mgmt_buffers/input431/X (sky130_fd_sc_hd__buf_2) + 0.27 15.42 v mgmt_buffers/_615_/Y (sky130_fd_sc_hd__inv_2) + 0.13 15.55 ^ mgmt_buffers/user_to_mprj_oen_buffers[23]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.55 ^ mprj/la_oenb[104] (user_project_wrapper) + 15.55 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39186_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[28] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.00 ^ soc/core/_39186_/CLK (sky130_fd_sc_hd__dfxtp_4) + 1.15 14.15 ^ soc/core/_39186_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.35 14.50 v soc/core/_19148_/Y (sky130_fd_sc_hd__inv_2) + 0.30 14.80 v soc/core/output506/X (sky130_fd_sc_hd__clkbuf_4) + 0.39 15.19 v mgmt_buffers/input514/X (sky130_fd_sc_hd__clkbuf_4) + 0.25 15.44 ^ mgmt_buffers/_362_/Y (sky130_fd_sc_hd__inv_2) + 0.08 15.52 v mgmt_buffers/user_to_mprj_oen_buffers[99]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.52 v mprj/la_oenb[28] (user_project_wrapper) + 15.52 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39188_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[26] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.98 ^ soc/core/_39188_/CLK (sky130_fd_sc_hd__dfxtp_4) + 1.19 14.17 ^ soc/core/_39188_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.36 14.52 v soc/core/_19142_/Y (sky130_fd_sc_hd__inv_2) + 0.30 14.82 v soc/core/output382/X (sky130_fd_sc_hd__clkbuf_4) + 0.38 15.20 v mgmt_buffers/input390/X (sky130_fd_sc_hd__clkbuf_4) + 0.22 15.42 ^ mgmt_buffers/_364_/Y (sky130_fd_sc_hd__clkinv_2) + 0.07 15.49 v mgmt_buffers/user_to_mprj_oen_buffers[101]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.49 v mprj/la_oenb[26] (user_project_wrapper) + 15.49 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39183_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[31] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.04 ^ soc/core/_39183_/CLK (sky130_fd_sc_hd__dfxtp_4) + 1.17 14.21 ^ soc/core/_39183_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.33 14.54 v soc/core/_19157_/Y (sky130_fd_sc_hd__inv_2) + 0.29 14.83 v soc/core/output503/X (sky130_fd_sc_hd__clkbuf_4) + 0.32 15.15 v mgmt_buffers/input511/X (sky130_fd_sc_hd__clkbuf_2) + 0.24 15.39 ^ mgmt_buffers/_359_/Y (sky130_fd_sc_hd__inv_2) + 0.08 15.47 v mgmt_buffers/user_to_mprj_oen_buffers[96]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.47 v mprj/la_oenb[31] (user_project_wrapper) + 15.47 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39189_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[25] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.98 ^ soc/core/_39189_/CLK (sky130_fd_sc_hd__dfxtp_4) + 1.10 14.08 ^ soc/core/_39189_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.28 14.36 v soc/core/_19139_/Y (sky130_fd_sc_hd__inv_2) + 0.28 14.65 v soc/core/output383/X (sky130_fd_sc_hd__clkbuf_4) + 0.41 15.05 v mgmt_buffers/input391/X (sky130_fd_sc_hd__clkbuf_4) + 0.27 15.32 ^ mgmt_buffers/_365_/Y (sky130_fd_sc_hd__inv_2) + 0.08 15.40 v mgmt_buffers/user_to_mprj_oen_buffers[102]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.40 v mprj/la_oenb[25] (user_project_wrapper) + 15.40 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39214_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[0] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.04 ^ soc/core/_39214_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.92 13.96 ^ soc/core/_39214_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.33 14.30 v soc/core/_19048_/Y (sky130_fd_sc_hd__clkinv_8) + 0.36 14.66 v soc/core/output410/X (sky130_fd_sc_hd__clkbuf_4) + 0.40 15.06 v mgmt_buffers/input418/X (sky130_fd_sc_hd__clkbuf_4) + 0.25 15.31 ^ mgmt_buffers/_390_/Y (sky130_fd_sc_hd__inv_2) + 0.08 15.39 v mgmt_buffers/user_to_mprj_oen_buffers[127]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.39 v mprj/la_oenb[0] (user_project_wrapper) + 15.39 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39185_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[29] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.00 ^ soc/core/_39185_/CLK (sky130_fd_sc_hd__dfxtp_4) + 1.07 14.07 ^ soc/core/_39185_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.29 14.36 v soc/core/_19151_/Y (sky130_fd_sc_hd__inv_2) + 0.28 14.64 v soc/core/output505/X (sky130_fd_sc_hd__clkbuf_4) + 0.39 15.02 v mgmt_buffers/input513/X (sky130_fd_sc_hd__clkbuf_4) + 0.25 15.27 ^ mgmt_buffers/_361_/Y (sky130_fd_sc_hd__inv_2) + 0.08 15.35 v mgmt_buffers/user_to_mprj_oen_buffers[98]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.35 v mprj/la_oenb[29] (user_project_wrapper) + 15.35 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39210_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[4] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.04 ^ soc/core/_39210_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.81 13.85 v soc/core/_39210_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.32 14.17 ^ soc/core/_19065_/Y (sky130_fd_sc_hd__inv_6) + 0.37 14.54 ^ soc/core/output406/X (sky130_fd_sc_hd__clkbuf_4) + 0.39 14.93 ^ mgmt_buffers/input414/X (sky130_fd_sc_hd__clkbuf_4) + 0.28 15.20 v mgmt_buffers/_386_/Y (sky130_fd_sc_hd__clkinv_2) + 0.12 15.32 ^ mgmt_buffers/user_to_mprj_oen_buffers[123]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.32 ^ mprj/la_oenb[4] (user_project_wrapper) + 15.32 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38532_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[32] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.06 ^ soc/core/_38532_/CLK (sky130_fd_sc_hd__dfxtp_4) + 1.11 14.18 ^ soc/core/_38532_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.17 14.35 v soc/core/_32262_/Y (sky130_fd_sc_hd__inv_2) + 0.25 14.59 v soc/core/output502/X (sky130_fd_sc_hd__clkbuf_4) + 0.39 14.98 v mgmt_buffers/input510/X (sky130_fd_sc_hd__clkbuf_4) + 0.25 15.23 ^ mgmt_buffers/_358_/Y (sky130_fd_sc_hd__inv_2) + 0.08 15.31 v mgmt_buffers/user_to_mprj_oen_buffers[95]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.31 v mprj/la_oenb[32] (user_project_wrapper) + 15.31 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39209_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[5] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.00 ^ soc/core/_39209_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.81 13.81 v soc/core/_39209_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.33 14.14 ^ soc/core/_19068_/Y (sky130_fd_sc_hd__inv_6) + 0.37 14.52 ^ soc/core/output405/X (sky130_fd_sc_hd__clkbuf_4) + 0.37 14.89 ^ mgmt_buffers/input413/X (sky130_fd_sc_hd__clkbuf_4) + 0.26 15.15 v mgmt_buffers/_385_/Y (sky130_fd_sc_hd__clkinv_2) + 0.12 15.27 ^ mgmt_buffers/user_to_mprj_oen_buffers[122]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.27 ^ mprj/la_oenb[5] (user_project_wrapper) + 15.27 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39213_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[1] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.04 ^ soc/core/_39213_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.91 13.95 ^ soc/core/_39213_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.28 14.23 v soc/core/_19055_/Y (sky130_fd_sc_hd__clkinv_8) + 0.33 14.56 v soc/core/output409/X (sky130_fd_sc_hd__clkbuf_4) + 0.39 14.95 v mgmt_buffers/input417/X (sky130_fd_sc_hd__clkbuf_4) + 0.24 15.19 ^ mgmt_buffers/_389_/Y (sky130_fd_sc_hd__inv_2) + 0.08 15.26 v mgmt_buffers/user_to_mprj_oen_buffers[126]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.26 v mprj/la_oenb[1] (user_project_wrapper) + 15.26 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39211_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[3] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.03 ^ soc/core/_39211_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.91 13.95 ^ soc/core/_39211_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.31 14.25 v soc/core/_19062_/Y (sky130_fd_sc_hd__clkinv_8) + 0.32 14.58 v soc/core/output407/X (sky130_fd_sc_hd__clkbuf_4) + 0.37 14.95 v mgmt_buffers/input415/X (sky130_fd_sc_hd__clkbuf_4) + 0.24 15.18 ^ mgmt_buffers/_387_/Y (sky130_fd_sc_hd__inv_2) + 0.08 15.26 v mgmt_buffers/user_to_mprj_oen_buffers[124]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.26 v mprj/la_oenb[3] (user_project_wrapper) + 15.26 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39212_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[2] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.03 ^ soc/core/_39212_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.89 13.93 ^ soc/core/_39212_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.26 14.18 v soc/core/_19058_/Y (sky130_fd_sc_hd__clkinv_8) + 0.36 14.54 v soc/core/output408/X (sky130_fd_sc_hd__clkbuf_4) + 0.39 14.93 v mgmt_buffers/input416/X (sky130_fd_sc_hd__clkbuf_4) + 0.22 15.15 ^ mgmt_buffers/_388_/Y (sky130_fd_sc_hd__clkinv_2) + 0.07 15.22 v mgmt_buffers/user_to_mprj_oen_buffers[125]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.22 v mprj/la_oenb[2] (user_project_wrapper) + 15.22 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38566_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[126] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.40 ^ soc/core/_38566_/CLK (sky130_fd_sc_hd__dfxtp_4) + 1.32 13.73 ^ soc/core/_38566_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.51 14.23 v soc/core/_21270_/Y (sky130_fd_sc_hd__clkinv_2) + 0.34 14.57 v soc/core/output419/X (sky130_fd_sc_hd__clkbuf_4) + 0.32 14.89 v mgmt_buffers/input427/X (sky130_fd_sc_hd__buf_2) + 0.21 15.10 ^ mgmt_buffers/_593_/Y (sky130_fd_sc_hd__clkinv_4) + 0.09 15.20 v mgmt_buffers/user_to_mprj_oen_buffers[1]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.20 v mprj/la_oenb[126] (user_project_wrapper) + 15.20 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39208_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[6] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.00 ^ soc/core/_39208_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.75 13.75 v soc/core/_39208_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.32 14.07 ^ soc/core/_19071_/Y (sky130_fd_sc_hd__inv_4) + 0.35 14.42 ^ soc/core/output404/X (sky130_fd_sc_hd__clkbuf_4) + 0.37 14.80 ^ mgmt_buffers/input412/X (sky130_fd_sc_hd__clkbuf_4) + 0.27 15.06 v mgmt_buffers/_384_/Y (sky130_fd_sc_hd__clkinv_2) + 0.12 15.18 ^ mgmt_buffers/user_to_mprj_oen_buffers[121]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.18 ^ mprj/la_oenb[6] (user_project_wrapper) + 15.18 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38531_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[33] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.06 ^ soc/core/_38531_/CLK (sky130_fd_sc_hd__dfxtp_4) + 1.02 14.08 ^ soc/core/_38531_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.14 14.23 v soc/core/_32261_/Y (sky130_fd_sc_hd__inv_2) + 0.24 14.47 v soc/core/output501/X (sky130_fd_sc_hd__clkbuf_4) + 0.38 14.85 v mgmt_buffers/input509/X (sky130_fd_sc_hd__buf_4) + 0.26 15.11 ^ mgmt_buffers/_357_/Y (sky130_fd_sc_hd__inv_2) + 0.08 15.18 v mgmt_buffers/user_to_mprj_oen_buffers[94]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.18 v mprj/la_oenb[33] (user_project_wrapper) + 15.18 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38530_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[34] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.06 ^ soc/core/_38530_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.99 14.05 ^ soc/core/_38530_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.17 14.22 v soc/core/_32260_/Y (sky130_fd_sc_hd__inv_2) + 0.24 14.46 v soc/core/output500/X (sky130_fd_sc_hd__clkbuf_4) + 0.38 14.84 v mgmt_buffers/input508/X (sky130_fd_sc_hd__buf_2) + 0.25 15.09 ^ mgmt_buffers/_356_/Y (sky130_fd_sc_hd__inv_2) + 0.08 15.17 v mgmt_buffers/user_to_mprj_oen_buffers[93]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.17 v mprj/la_oenb[34] (user_project_wrapper) + 15.17 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38565_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[127] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.40 ^ soc/core/_38565_/CLK (sky130_fd_sc_hd__dfxtp_4) + 1.38 13.78 ^ soc/core/_38565_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.51 14.30 v soc/core/_21273_/Y (sky130_fd_sc_hd__clkinv_2) + 0.34 14.63 v soc/core/output380/X (sky130_fd_sc_hd__clkbuf_4) + 0.28 14.91 v mgmt_buffers/input388/X (sky130_fd_sc_hd__clkbuf_2) + 0.18 15.10 ^ mgmt_buffers/_592_/Y (sky130_fd_sc_hd__clkinv_2) + 0.07 15.17 v mgmt_buffers/user_to_mprj_oen_buffers[0]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.17 v mprj/la_oenb[127] (user_project_wrapper) + 15.17 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38526_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[38] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.06 ^ soc/core/_38526_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.79 13.85 v soc/core/_38526_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.18 14.04 ^ soc/core/_32256_/Y (sky130_fd_sc_hd__inv_2) + 0.24 14.28 ^ soc/core/output495/X (sky130_fd_sc_hd__clkbuf_4) + 0.43 14.70 ^ mgmt_buffers/input503/X (sky130_fd_sc_hd__buf_4) + 0.33 15.03 v mgmt_buffers/_352_/Y (sky130_fd_sc_hd__clkinv_2) + 0.13 15.16 ^ mgmt_buffers/user_to_mprj_oen_buffers[89]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.16 ^ mprj/la_oenb[38] (user_project_wrapper) + 15.16 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38528_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[36] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.06 ^ soc/core/_38528_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.98 14.04 ^ soc/core/_38528_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.15 14.20 v soc/core/_32258_/Y (sky130_fd_sc_hd__inv_2) + 0.24 14.44 v soc/core/output498/X (sky130_fd_sc_hd__clkbuf_4) + 0.38 14.82 v mgmt_buffers/input506/X (sky130_fd_sc_hd__clkbuf_4) + 0.23 15.05 ^ mgmt_buffers/_354_/Y (sky130_fd_sc_hd__clkinv_2) + 0.08 15.12 v mgmt_buffers/user_to_mprj_oen_buffers[91]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.12 v mprj/la_oenb[36] (user_project_wrapper) + 15.12 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38529_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[35] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.06 ^ soc/core/_38529_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.95 14.01 ^ soc/core/_38529_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.15 14.16 v soc/core/_32259_/Y (sky130_fd_sc_hd__inv_2) + 0.24 14.41 v soc/core/output499/X (sky130_fd_sc_hd__clkbuf_4) + 0.40 14.80 v mgmt_buffers/input507/X (sky130_fd_sc_hd__clkbuf_4) + 0.24 15.05 ^ mgmt_buffers/_355_/Y (sky130_fd_sc_hd__clkinv_2) + 0.07 15.12 v mgmt_buffers/user_to_mprj_oen_buffers[92]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.12 v mprj/la_oenb[35] (user_project_wrapper) + 15.12 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38527_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[37] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.06 ^ soc/core/_38527_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.96 14.02 ^ soc/core/_38527_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.15 14.17 v soc/core/_32257_/Y (sky130_fd_sc_hd__inv_2) + 0.24 14.41 v soc/core/output497/X (sky130_fd_sc_hd__clkbuf_4) + 0.38 14.79 v mgmt_buffers/input505/X (sky130_fd_sc_hd__clkbuf_4) + 0.22 15.01 ^ mgmt_buffers/_353_/Y (sky130_fd_sc_hd__clkinv_2) + 0.08 15.08 v mgmt_buffers/user_to_mprj_oen_buffers[90]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.08 v mprj/la_oenb[37] (user_project_wrapper) + 15.08 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39207_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[7] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.00 ^ soc/core/_39207_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.87 13.87 ^ soc/core/_39207_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.26 14.13 v soc/core/_19075_/Y (sky130_fd_sc_hd__inv_4) + 0.33 14.46 v soc/core/output403/X (sky130_fd_sc_hd__clkbuf_4) + 0.31 14.76 v mgmt_buffers/input411/X (sky130_fd_sc_hd__clkbuf_2) + 0.23 15.00 ^ mgmt_buffers/_383_/Y (sky130_fd_sc_hd__inv_2) + 0.08 15.07 v mgmt_buffers/user_to_mprj_oen_buffers[120]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.07 v mprj/la_oenb[7] (user_project_wrapper) + 15.07 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38524_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[40] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.00 ^ soc/core/_38524_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.85 13.85 ^ soc/core/_38524_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.12 13.97 v soc/core/_32254_/Y (sky130_fd_sc_hd__inv_2) + 0.23 14.19 v soc/core/output493/X (sky130_fd_sc_hd__clkbuf_4) + 0.41 14.60 v mgmt_buffers/input501/X (sky130_fd_sc_hd__clkbuf_4) + 0.26 14.86 ^ mgmt_buffers/_350_/Y (sky130_fd_sc_hd__inv_2) + 0.08 14.94 v mgmt_buffers/user_to_mprj_oen_buffers[87]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.94 v mprj/la_oenb[40] (user_project_wrapper) + 14.94 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39192_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[22] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.84 ^ soc/core/_39192_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.92 13.77 ^ soc/core/_39192_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.23 13.99 v soc/core/_19127_/Y (sky130_fd_sc_hd__inv_2) + 0.27 14.26 v soc/core/output386/X (sky130_fd_sc_hd__clkbuf_4) + 0.35 14.61 v mgmt_buffers/input394/X (sky130_fd_sc_hd__buf_4) + 0.23 14.84 ^ mgmt_buffers/_368_/Y (sky130_fd_sc_hd__inv_2) + 0.08 14.92 v mgmt_buffers/user_to_mprj_oen_buffers[105]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.92 v mprj/la_oenb[22] (user_project_wrapper) + 14.92 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38534_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[94] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.46 ^ soc/core/_38534_/CLK (sky130_fd_sc_hd__dfxtp_4) + 1.16 13.62 ^ soc/core/_38534_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.33 13.95 v soc/core/_21378_/Y (sky130_fd_sc_hd__inv_2) + 0.29 14.24 v soc/core/output434/X (sky130_fd_sc_hd__clkbuf_4) + 0.34 14.57 v mgmt_buffers/input442/X (sky130_fd_sc_hd__buf_2) + 0.24 14.81 ^ mgmt_buffers/_625_/Y (sky130_fd_sc_hd__clkinv_4) + 0.10 14.91 v mgmt_buffers/user_to_mprj_oen_buffers[33]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.91 v mprj/la_oenb[94] (user_project_wrapper) + 14.91 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38523_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[41] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.00 ^ soc/core/_38523_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.85 13.85 ^ soc/core/_38523_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.13 13.98 v soc/core/_32253_/Y (sky130_fd_sc_hd__inv_2) + 0.24 14.22 v soc/core/output492/X (sky130_fd_sc_hd__clkbuf_4) + 0.36 14.58 v mgmt_buffers/input500/X (sky130_fd_sc_hd__buf_4) + 0.24 14.82 ^ mgmt_buffers/_349_/Y (sky130_fd_sc_hd__inv_2) + 0.08 14.90 v mgmt_buffers/user_to_mprj_oen_buffers[86]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.90 v mprj/la_oenb[41] (user_project_wrapper) + 14.90 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39205_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[9] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.86 ^ soc/core/_39205_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.87 13.74 ^ soc/core/_39205_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.19 13.93 v soc/core/_19083_/Y (sky130_fd_sc_hd__inv_2) + 0.26 14.19 v soc/core/output400/X (sky130_fd_sc_hd__clkbuf_4) + 0.39 14.57 v mgmt_buffers/input408/X (sky130_fd_sc_hd__clkbuf_4) + 0.25 14.82 ^ mgmt_buffers/_381_/Y (sky130_fd_sc_hd__inv_2) + 0.08 14.90 v mgmt_buffers/user_to_mprj_oen_buffers[118]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.90 v mprj/la_oenb[9] (user_project_wrapper) + 14.90 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38522_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[42] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.00 ^ soc/core/_38522_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.87 13.86 ^ soc/core/_38522_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.14 14.00 v soc/core/_32252_/Y (sky130_fd_sc_hd__inv_2) + 0.24 14.24 v soc/core/output491/X (sky130_fd_sc_hd__clkbuf_4) + 0.35 14.59 v mgmt_buffers/input499/X (sky130_fd_sc_hd__buf_4) + 0.23 14.82 ^ mgmt_buffers/_348_/Y (sky130_fd_sc_hd__inv_2) + 0.08 14.90 v mgmt_buffers/user_to_mprj_oen_buffers[85]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.90 v mprj/la_oenb[42] (user_project_wrapper) + 14.90 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38525_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[39] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 13.00 ^ soc/core/_38525_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.83 13.83 ^ soc/core/_38525_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.14 13.96 v soc/core/_32255_/Y (sky130_fd_sc_hd__inv_2) + 0.24 14.20 v soc/core/output494/X (sky130_fd_sc_hd__clkbuf_4) + 0.36 14.56 v mgmt_buffers/input502/X (sky130_fd_sc_hd__buf_4) + 0.24 14.80 ^ mgmt_buffers/_351_/Y (sky130_fd_sc_hd__inv_2) + 0.08 14.88 v mgmt_buffers/user_to_mprj_oen_buffers[88]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.88 v mprj/la_oenb[39] (user_project_wrapper) + 14.88 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39206_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[8] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.84 ^ soc/core/_39206_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.83 13.67 ^ soc/core/_39206_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.27 13.94 v soc/core/_19078_/Y (sky130_fd_sc_hd__clkinv_2) + 0.29 14.23 v soc/core/output401/X (sky130_fd_sc_hd__clkbuf_4) + 0.35 14.58 v mgmt_buffers/input409/X (sky130_fd_sc_hd__clkbuf_4) + 0.21 14.79 ^ mgmt_buffers/_382_/Y (sky130_fd_sc_hd__clkinv_2) + 0.07 14.86 v mgmt_buffers/user_to_mprj_oen_buffers[119]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.86 v mprj/la_oenb[8] (user_project_wrapper) + 14.86 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39204_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[10] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.86 ^ soc/core/_39204_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.84 13.71 ^ soc/core/_39204_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.17 13.88 v soc/core/_19086_/Y (sky130_fd_sc_hd__inv_2) + 0.25 14.13 v soc/core/output399/X (sky130_fd_sc_hd__clkbuf_4) + 0.37 14.51 v mgmt_buffers/input407/X (sky130_fd_sc_hd__clkbuf_4) + 0.24 14.75 ^ mgmt_buffers/_380_/Y (sky130_fd_sc_hd__inv_2) + 0.08 14.83 v mgmt_buffers/user_to_mprj_oen_buffers[117]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.83 v mprj/la_oenb[10] (user_project_wrapper) + 14.83 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39200_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[14] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.83 ^ soc/core/_39200_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.84 13.67 ^ soc/core/_39200_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.20 13.87 v soc/core/_19099_/Y (sky130_fd_sc_hd__inv_2) + 0.26 14.13 v soc/core/output395/X (sky130_fd_sc_hd__clkbuf_4) + 0.37 14.50 v mgmt_buffers/input403/X (sky130_fd_sc_hd__clkbuf_4) + 0.24 14.74 ^ mgmt_buffers/_376_/Y (sky130_fd_sc_hd__inv_2) + 0.08 14.81 v mgmt_buffers/user_to_mprj_oen_buffers[113]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.81 v mprj/la_oenb[14] (user_project_wrapper) + 14.81 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38512_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[52] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.53 ^ soc/core/_38512_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.92 13.45 ^ soc/core/_38512_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.35 13.80 v soc/core/_32242_/Y (sky130_fd_sc_hd__clkinv_2) + 0.33 14.12 v soc/core/output480/X (sky130_fd_sc_hd__clkbuf_4) + 0.39 14.51 v mgmt_buffers/input488/X (sky130_fd_sc_hd__buf_2) + 0.22 14.73 ^ mgmt_buffers/_338_/Y (sky130_fd_sc_hd__clkinv_2) + 0.07 14.81 v mgmt_buffers/user_to_mprj_oen_buffers[75]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.81 v mprj/la_oenb[52] (user_project_wrapper) + 14.81 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39198_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[16] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.84 ^ soc/core/_39198_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.86 13.70 ^ soc/core/_39198_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.20 13.89 v soc/core/_19106_/Y (sky130_fd_sc_hd__inv_2) + 0.26 14.15 v soc/core/output393/X (sky130_fd_sc_hd__clkbuf_4) + 0.35 14.50 v mgmt_buffers/input401/X (sky130_fd_sc_hd__buf_4) + 0.23 14.73 ^ mgmt_buffers/_374_/Y (sky130_fd_sc_hd__inv_2) + 0.08 14.81 v mgmt_buffers/user_to_mprj_oen_buffers[111]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.81 v mprj/la_oenb[16] (user_project_wrapper) + 14.81 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38533_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[95] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.46 ^ soc/core/_38533_/CLK (sky130_fd_sc_hd__dfxtp_4) + 1.13 13.58 ^ soc/core/_38533_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.28 13.86 v soc/core/_21381_/Y (sky130_fd_sc_hd__inv_2) + 0.28 14.14 v soc/core/output433/X (sky130_fd_sc_hd__clkbuf_4) + 0.34 14.48 v mgmt_buffers/input441/X (sky130_fd_sc_hd__buf_2) + 0.23 14.71 ^ mgmt_buffers/_624_/Y (sky130_fd_sc_hd__clkinv_4) + 0.09 14.80 v mgmt_buffers/user_to_mprj_oen_buffers[32]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.80 v mprj/la_oenb[95] (user_project_wrapper) + 14.80 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39197_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[17] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.84 ^ soc/core/_39197_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.84 13.68 ^ soc/core/_39197_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.17 13.85 v soc/core/_19111_/Y (sky130_fd_sc_hd__inv_2) + 0.25 14.10 v soc/core/output392/X (sky130_fd_sc_hd__clkbuf_4) + 0.38 14.47 v mgmt_buffers/input400/X (sky130_fd_sc_hd__clkbuf_4) + 0.25 14.72 ^ mgmt_buffers/_373_/Y (sky130_fd_sc_hd__inv_2) + 0.08 14.80 v mgmt_buffers/user_to_mprj_oen_buffers[110]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.80 v mprj/la_oenb[17] (user_project_wrapper) + 14.80 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39203_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[11] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.86 ^ soc/core/_39203_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.73 13.60 v soc/core/_39203_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.15 13.75 ^ soc/core/_19090_/Y (sky130_fd_sc_hd__inv_2) + 0.23 13.98 ^ soc/core/output398/X (sky130_fd_sc_hd__clkbuf_4) + 0.41 14.39 ^ mgmt_buffers/input406/X (sky130_fd_sc_hd__clkbuf_4) + 0.29 14.68 v mgmt_buffers/_379_/Y (sky130_fd_sc_hd__clkinv_2) + 0.12 14.80 ^ mgmt_buffers/user_to_mprj_oen_buffers[116]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.80 ^ mprj/la_oenb[11] (user_project_wrapper) + 14.80 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39193_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[21] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.84 ^ soc/core/_39193_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.72 13.57 v soc/core/_39193_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.17 13.74 ^ soc/core/_19124_/Y (sky130_fd_sc_hd__inv_2) + 0.25 13.99 ^ soc/core/output387/X (sky130_fd_sc_hd__clkbuf_4) + 0.38 14.37 ^ mgmt_buffers/input395/X (sky130_fd_sc_hd__buf_2) + 0.29 14.67 v mgmt_buffers/_369_/Y (sky130_fd_sc_hd__clkinv_2) + 0.12 14.79 ^ mgmt_buffers/user_to_mprj_oen_buffers[106]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.79 ^ mprj/la_oenb[21] (user_project_wrapper) + 14.79 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39195_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[19] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.84 ^ soc/core/_39195_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.84 13.68 ^ soc/core/_39195_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.17 13.86 v soc/core/_19118_/Y (sky130_fd_sc_hd__inv_2) + 0.25 14.11 v soc/core/output389/X (sky130_fd_sc_hd__clkbuf_4) + 0.36 14.47 v mgmt_buffers/input397/X (sky130_fd_sc_hd__buf_4) + 0.24 14.71 ^ mgmt_buffers/_371_/Y (sky130_fd_sc_hd__inv_2) + 0.08 14.78 v mgmt_buffers/user_to_mprj_oen_buffers[108]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.78 v mprj/la_oenb[19] (user_project_wrapper) + 14.78 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39194_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[20] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.84 ^ soc/core/_39194_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.83 13.67 ^ soc/core/_39194_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.17 13.84 v soc/core/_19121_/Y (sky130_fd_sc_hd__inv_2) + 0.25 14.09 v soc/core/output388/X (sky130_fd_sc_hd__clkbuf_4) + 0.37 14.46 v mgmt_buffers/input396/X (sky130_fd_sc_hd__clkbuf_4) + 0.24 14.70 ^ mgmt_buffers/_370_/Y (sky130_fd_sc_hd__inv_2) + 0.08 14.77 v mgmt_buffers/user_to_mprj_oen_buffers[107]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.77 v mprj/la_oenb[20] (user_project_wrapper) + 14.77 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39199_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[15] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.82 ^ soc/core/_39199_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.84 13.66 ^ soc/core/_39199_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.16 13.82 v soc/core/_19103_/Y (sky130_fd_sc_hd__inv_2) + 0.24 14.06 v soc/core/output394/X (sky130_fd_sc_hd__clkbuf_4) + 0.38 14.44 v mgmt_buffers/input402/X (sky130_fd_sc_hd__buf_2) + 0.24 14.69 ^ mgmt_buffers/_375_/Y (sky130_fd_sc_hd__inv_2) + 0.08 14.76 v mgmt_buffers/user_to_mprj_oen_buffers[112]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.76 v mprj/la_oenb[15] (user_project_wrapper) + 14.76 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38540_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[88] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.45 ^ soc/core/_38540_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.93 13.38 ^ soc/core/_38540_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.34 13.72 v soc/core/_21358_/Y (sky130_fd_sc_hd__clkinv_2) + 0.33 14.04 v soc/core/output440/X (sky130_fd_sc_hd__clkbuf_4) + 0.40 14.44 v mgmt_buffers/input448/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.23 14.67 ^ mgmt_buffers/_631_/Y (sky130_fd_sc_hd__inv_2) + 0.08 14.75 v mgmt_buffers/user_to_mprj_oen_buffers[39]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.75 v mprj/la_oenb[88] (user_project_wrapper) + 14.75 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38511_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[53] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.53 ^ soc/core/_38511_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.79 13.32 v soc/core/_38511_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.24 13.56 ^ soc/core/_32241_/Y (sky130_fd_sc_hd__inv_2) + 0.28 13.85 ^ soc/core/output479/X (sky130_fd_sc_hd__clkbuf_4) + 0.43 14.28 ^ mgmt_buffers/input487/X (sky130_fd_sc_hd__buf_2) + 0.33 14.61 v mgmt_buffers/_337_/Y (sky130_fd_sc_hd__clkinv_2) + 0.13 14.74 ^ mgmt_buffers/user_to_mprj_oen_buffers[74]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.74 ^ mprj/la_oenb[53] (user_project_wrapper) + 14.74 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38537_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[91] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.46 ^ soc/core/_38537_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.96 13.41 ^ soc/core/_38537_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.25 13.66 v soc/core/_21369_/Y (sky130_fd_sc_hd__inv_2) + 0.28 13.94 v soc/core/output437/X (sky130_fd_sc_hd__clkbuf_4) + 0.30 14.24 v mgmt_buffers/input445/X (sky130_fd_sc_hd__clkbuf_2) + 0.38 14.62 ^ mgmt_buffers/_628_/Y (sky130_fd_sc_hd__inv_2) + 0.12 14.74 v mgmt_buffers/user_to_mprj_oen_buffers[36]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.74 v mprj/la_oenb[91] (user_project_wrapper) + 14.74 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39201_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[13] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.82 ^ soc/core/_39201_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.83 13.66 ^ soc/core/_39201_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.16 13.82 v soc/core/_19096_/Y (sky130_fd_sc_hd__inv_2) + 0.24 14.06 v soc/core/output396/X (sky130_fd_sc_hd__clkbuf_4) + 0.36 14.43 v mgmt_buffers/input404/X (sky130_fd_sc_hd__clkbuf_4) + 0.23 14.66 ^ mgmt_buffers/_377_/Y (sky130_fd_sc_hd__inv_2) + 0.08 14.73 v mgmt_buffers/user_to_mprj_oen_buffers[114]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.73 v mprj/la_oenb[13] (user_project_wrapper) + 14.73 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38515_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[49] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.52 ^ soc/core/_38515_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.76 13.28 v soc/core/_38515_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.29 13.57 ^ soc/core/_32245_/Y (sky130_fd_sc_hd__inv_2) + 0.32 13.89 ^ soc/core/output483/X (sky130_fd_sc_hd__clkbuf_4) + 0.41 14.30 ^ mgmt_buffers/input491/X (sky130_fd_sc_hd__clkbuf_4) + 0.30 14.60 v mgmt_buffers/_341_/Y (sky130_fd_sc_hd__clkinv_2) + 0.13 14.73 ^ mgmt_buffers/user_to_mprj_oen_buffers[78]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.73 ^ mprj/la_oenb[49] (user_project_wrapper) + 14.73 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39191_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[23] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.84 ^ soc/core/_39191_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.73 13.58 v soc/core/_39191_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.18 13.76 ^ soc/core/_19131_/Y (sky130_fd_sc_hd__inv_2) + 0.25 14.01 ^ soc/core/output385/X (sky130_fd_sc_hd__clkbuf_4) + 0.33 14.34 ^ mgmt_buffers/input393/X (sky130_fd_sc_hd__buf_2) + 0.26 14.60 v mgmt_buffers/_367_/Y (sky130_fd_sc_hd__clkinv_2) + 0.12 14.72 ^ mgmt_buffers/user_to_mprj_oen_buffers[104]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.72 ^ mprj/la_oenb[23] (user_project_wrapper) + 14.72 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38510_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[54] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.52 ^ soc/core/_38510_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.89 13.40 ^ soc/core/_38510_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.32 13.73 v soc/core/_32240_/Y (sky130_fd_sc_hd__clkinv_2) + 0.32 14.05 v soc/core/output478/X (sky130_fd_sc_hd__clkbuf_4) + 0.37 14.42 v mgmt_buffers/input486/X (sky130_fd_sc_hd__clkbuf_4) + 0.22 14.64 ^ mgmt_buffers/_336_/Y (sky130_fd_sc_hd__clkinv_2) + 0.08 14.72 v mgmt_buffers/user_to_mprj_oen_buffers[73]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.72 v mprj/la_oenb[54] (user_project_wrapper) + 14.72 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39202_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[12] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.82 ^ soc/core/_39202_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.72 13.54 v soc/core/_39202_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.14 13.68 ^ soc/core/_19093_/Y (sky130_fd_sc_hd__inv_2) + 0.23 13.91 ^ soc/core/output397/X (sky130_fd_sc_hd__clkbuf_4) + 0.39 14.30 ^ mgmt_buffers/input405/X (sky130_fd_sc_hd__clkbuf_4) + 0.28 14.59 v mgmt_buffers/_378_/Y (sky130_fd_sc_hd__clkinv_2) + 0.12 14.71 ^ mgmt_buffers/user_to_mprj_oen_buffers[115]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.71 ^ mprj/la_oenb[12] (user_project_wrapper) + 14.71 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38516_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[48] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.52 ^ soc/core/_38516_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.76 13.28 v soc/core/_38516_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.26 13.54 ^ soc/core/_32246_/Y (sky130_fd_sc_hd__inv_2) + 0.30 13.84 ^ soc/core/output484/X (sky130_fd_sc_hd__clkbuf_4) + 0.42 14.26 ^ mgmt_buffers/input492/X (sky130_fd_sc_hd__clkbuf_4) + 0.32 14.57 v mgmt_buffers/_342_/Y (sky130_fd_sc_hd__clkinv_2) + 0.13 14.70 ^ mgmt_buffers/user_to_mprj_oen_buffers[79]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.70 ^ mprj/la_oenb[48] (user_project_wrapper) + 14.70 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38507_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[57] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.52 ^ soc/core/_38507_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.77 13.29 v soc/core/_38507_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.22 13.51 ^ soc/core/_32237_/Y (sky130_fd_sc_hd__inv_2) + 0.27 13.78 ^ soc/core/output475/X (sky130_fd_sc_hd__clkbuf_4) + 0.43 14.22 ^ mgmt_buffers/input483/X (sky130_fd_sc_hd__buf_2) + 0.35 14.56 v mgmt_buffers/_333_/Y (sky130_fd_sc_hd__clkinv_2) + 0.14 14.70 ^ mgmt_buffers/user_to_mprj_oen_buffers[70]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.70 ^ mprj/la_oenb[57] (user_project_wrapper) + 14.70 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38581_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[111] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.42 ^ soc/core/_38581_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.83 13.25 ^ soc/core/_38581_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.30 13.55 v soc/core/_21219_/Y (sky130_fd_sc_hd__clkinv_2) + 0.32 13.88 v soc/core/output415/X (sky130_fd_sc_hd__clkbuf_4) + 0.31 14.19 v mgmt_buffers/input423/X (sky130_fd_sc_hd__clkbuf_2) + 0.39 14.58 ^ mgmt_buffers/_608_/Y (sky130_fd_sc_hd__inv_2) + 0.12 14.70 v mgmt_buffers/user_to_mprj_oen_buffers[16]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.70 v mprj/la_oenb[111] (user_project_wrapper) + 14.70 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38535_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[93] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.46 ^ soc/core/_38535_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.94 13.40 ^ soc/core/_38535_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.22 13.62 v soc/core/_21375_/Y (sky130_fd_sc_hd__inv_2) + 0.27 13.89 v soc/core/output435/X (sky130_fd_sc_hd__clkbuf_4) + 0.31 14.19 v mgmt_buffers/input443/X (sky130_fd_sc_hd__clkbuf_2) + 0.38 14.57 ^ mgmt_buffers/_626_/Y (sky130_fd_sc_hd__inv_2) + 0.11 14.69 v mgmt_buffers/user_to_mprj_oen_buffers[34]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.69 v mprj/la_oenb[93] (user_project_wrapper) + 14.69 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38558_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[70] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.50 ^ soc/core/_38558_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.89 13.39 ^ soc/core/_38558_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.24 13.63 v soc/core/_21298_/Y (sky130_fd_sc_hd__inv_2) + 0.27 13.90 v soc/core/output460/X (sky130_fd_sc_hd__clkbuf_4) + 0.29 14.19 v mgmt_buffers/input468/X (sky130_fd_sc_hd__clkbuf_2) + 0.37 14.56 ^ mgmt_buffers/_649_/Y (sky130_fd_sc_hd__inv_2) + 0.12 14.68 v mgmt_buffers/user_to_mprj_oen_buffers[57]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.68 v mprj/la_oenb[70] (user_project_wrapper) + 14.68 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38508_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[56] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.52 ^ soc/core/_38508_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.87 13.38 ^ soc/core/_38508_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.34 13.72 v soc/core/_32238_/Y (sky130_fd_sc_hd__clkinv_2) + 0.33 14.05 v soc/core/output476/X (sky130_fd_sc_hd__clkbuf_4) + 0.32 14.37 v mgmt_buffers/input484/X (sky130_fd_sc_hd__clkbuf_2) + 0.23 14.59 ^ mgmt_buffers/_334_/Y (sky130_fd_sc_hd__clkinv_2) + 0.08 14.67 v mgmt_buffers/user_to_mprj_oen_buffers[71]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.67 v mprj/la_oenb[56] (user_project_wrapper) + 14.67 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38561_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[67] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.51 ^ soc/core/_38561_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.72 13.24 v soc/core/_38561_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.24 13.47 ^ soc/core/_21288_/Y (sky130_fd_sc_hd__inv_2) + 0.29 13.76 ^ soc/core/output464/X (sky130_fd_sc_hd__clkbuf_4) + 0.49 14.25 ^ mgmt_buffers/input472/X (sky130_fd_sc_hd__buf_2) + 0.29 14.55 v mgmt_buffers/_652_/Y (sky130_fd_sc_hd__inv_2) + 0.11 14.66 ^ mgmt_buffers/user_to_mprj_oen_buffers[60]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.66 ^ mprj/la_oenb[67] (user_project_wrapper) + 14.66 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38570_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[122] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.42 ^ soc/core/_38570_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.97 13.39 ^ soc/core/_38570_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.35 13.74 v soc/core/_21258_/Y (sky130_fd_sc_hd__clkinv_2) + 0.31 14.04 v soc/core/output463/X (sky130_fd_sc_hd__clkbuf_4) + 0.32 14.36 v mgmt_buffers/input471/X (sky130_fd_sc_hd__buf_2) + 0.20 14.56 ^ mgmt_buffers/_597_/Y (sky130_fd_sc_hd__clkinv_4) + 0.09 14.65 v mgmt_buffers/user_to_mprj_oen_buffers[5]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.65 v mprj/la_oenb[122] (user_project_wrapper) + 14.65 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38542_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[86] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.47 ^ soc/core/_38542_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.92 13.38 ^ soc/core/_38542_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.22 13.60 v soc/core/_21352_/Y (sky130_fd_sc_hd__inv_2) + 0.26 13.87 v soc/core/output443/X (sky130_fd_sc_hd__clkbuf_4) + 0.31 14.17 v mgmt_buffers/input451/X (sky130_fd_sc_hd__clkbuf_2) + 0.36 14.54 ^ mgmt_buffers/_633_/Y (sky130_fd_sc_hd__inv_2) + 0.11 14.65 v mgmt_buffers/user_to_mprj_oen_buffers[41]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.65 v mprj/la_oenb[86] (user_project_wrapper) + 14.65 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38509_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[55] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.52 ^ soc/core/_38509_/CLK (sky130_fd_sc_hd__dfxtp_2) + 1.00 13.51 ^ soc/core/_38509_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.24 13.76 v soc/core/_32239_/Y (sky130_fd_sc_hd__inv_2) + 0.27 14.02 v soc/core/output477/X (sky130_fd_sc_hd__clkbuf_4) + 0.34 14.37 v mgmt_buffers/input485/X (sky130_fd_sc_hd__buf_2) + 0.20 14.57 ^ mgmt_buffers/_335_/Y (sky130_fd_sc_hd__clkinv_2) + 0.08 14.65 v mgmt_buffers/user_to_mprj_oen_buffers[72]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.65 v mprj/la_oenb[55] (user_project_wrapper) + 14.65 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38543_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[85] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.41 ^ soc/core/_38543_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.94 13.36 ^ soc/core/_38543_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.26 13.62 v soc/core/_21348_/Y (sky130_fd_sc_hd__inv_2) + 0.27 13.89 v soc/core/output444/X (sky130_fd_sc_hd__clkbuf_4) + 0.41 14.29 v mgmt_buffers/input452/X (sky130_fd_sc_hd__clkbuf_4) + 0.27 14.56 ^ mgmt_buffers/_634_/Y (sky130_fd_sc_hd__inv_2) + 0.08 14.64 v mgmt_buffers/user_to_mprj_oen_buffers[42]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.64 v mprj/la_oenb[85] (user_project_wrapper) + 14.64 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38502_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[62] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.52 ^ soc/core/_38502_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.96 13.47 ^ soc/core/_38502_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.22 13.69 v soc/core/_32232_/Y (sky130_fd_sc_hd__inv_2) + 0.26 13.95 v soc/core/output469/X (sky130_fd_sc_hd__clkbuf_4) + 0.35 14.30 v mgmt_buffers/input477/X (sky130_fd_sc_hd__clkbuf_2) + 0.26 14.56 ^ mgmt_buffers/_657_/Y (sky130_fd_sc_hd__inv_2) + 0.08 14.64 v mgmt_buffers/user_to_mprj_oen_buffers[65]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.64 v mprj/la_oenb[62] (user_project_wrapper) + 14.64 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38541_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[87] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.47 ^ soc/core/_38541_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.81 13.28 v soc/core/_38541_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.23 13.51 ^ soc/core/_21355_/Y (sky130_fd_sc_hd__inv_2) + 0.26 13.76 ^ soc/core/output442/X (sky130_fd_sc_hd__clkbuf_4) + 0.41 14.17 ^ mgmt_buffers/input450/X (sky130_fd_sc_hd__buf_4) + 0.34 14.51 v mgmt_buffers/_632_/Y (sky130_fd_sc_hd__clkinv_2) + 0.13 14.64 ^ mgmt_buffers/user_to_mprj_oen_buffers[40]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.64 ^ mprj/la_oenb[87] (user_project_wrapper) + 14.64 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38564_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[64] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.51 ^ soc/core/_38564_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.83 13.34 ^ soc/core/_38564_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.23 13.57 v soc/core/_21276_/Y (sky130_fd_sc_hd__inv_2) + 0.28 13.84 v soc/core/output467/X (sky130_fd_sc_hd__clkbuf_4) + 0.32 14.16 v mgmt_buffers/input475/X (sky130_fd_sc_hd__clkbuf_2) + 0.36 14.53 ^ mgmt_buffers/_655_/Y (sky130_fd_sc_hd__inv_2) + 0.11 14.64 v mgmt_buffers/user_to_mprj_oen_buffers[63]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.64 v mprj/la_oenb[64] (user_project_wrapper) + 14.64 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38552_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[76] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.48 ^ soc/core/_38552_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.77 13.25 v soc/core/_38552_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.18 13.43 ^ soc/core/_21318_/Y (sky130_fd_sc_hd__inv_2) + 0.25 13.68 ^ soc/core/output454/X (sky130_fd_sc_hd__clkbuf_4) + 0.44 14.12 ^ mgmt_buffers/input462/X (sky130_fd_sc_hd__buf_2) + 0.36 14.48 v mgmt_buffers/_643_/Y (sky130_fd_sc_hd__clkinv_4) + 0.15 14.63 ^ mgmt_buffers/user_to_mprj_oen_buffers[51]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.63 ^ mprj/la_oenb[76] (user_project_wrapper) + 14.63 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38554_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[74] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.48 ^ soc/core/_38554_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.75 13.23 v soc/core/_38554_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.21 13.44 ^ soc/core/_21312_/Y (sky130_fd_sc_hd__inv_2) + 0.26 13.70 ^ soc/core/output456/X (sky130_fd_sc_hd__clkbuf_4) + 0.44 14.14 ^ mgmt_buffers/input464/X (sky130_fd_sc_hd__buf_2) + 0.34 14.48 v mgmt_buffers/_645_/Y (sky130_fd_sc_hd__clkinv_2) + 0.13 14.61 ^ mgmt_buffers/user_to_mprj_oen_buffers[53]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.61 ^ mprj/la_oenb[74] (user_project_wrapper) + 14.61 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38536_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[92] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.45 ^ soc/core/_38536_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.98 13.43 ^ soc/core/_38536_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.25 13.68 v soc/core/_21372_/Y (sky130_fd_sc_hd__inv_2) + 0.27 13.95 v soc/core/output436/X (sky130_fd_sc_hd__clkbuf_4) + 0.30 14.25 v mgmt_buffers/input444/X (sky130_fd_sc_hd__clkbuf_2) + 0.26 14.51 ^ mgmt_buffers/_627_/Y (sky130_fd_sc_hd__clkinv_4) + 0.11 14.61 v mgmt_buffers/user_to_mprj_oen_buffers[35]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.61 v mprj/la_oenb[92] (user_project_wrapper) + 14.61 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38513_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[51] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.52 ^ soc/core/_38513_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.85 13.37 ^ soc/core/_38513_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.25 13.62 v soc/core/_32243_/Y (sky130_fd_sc_hd__inv_2) + 0.30 13.92 v soc/core/output481/X (sky130_fd_sc_hd__clkbuf_4) + 0.38 14.29 v mgmt_buffers/input489/X (sky130_fd_sc_hd__clkbuf_4) + 0.24 14.53 ^ mgmt_buffers/_339_/Y (sky130_fd_sc_hd__inv_2) + 0.08 14.61 v mgmt_buffers/user_to_mprj_oen_buffers[76]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.61 v mprj/la_oenb[51] (user_project_wrapper) + 14.61 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38547_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[81] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.46 ^ soc/core/_38547_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.74 13.21 v soc/core/_38547_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.24 13.45 ^ soc/core/_21336_/Y (sky130_fd_sc_hd__inv_2) + 0.29 13.74 ^ soc/core/output448/X (sky130_fd_sc_hd__clkbuf_4) + 0.40 14.14 ^ mgmt_buffers/input456/X (sky130_fd_sc_hd__buf_4) + 0.33 14.47 v mgmt_buffers/_638_/Y (sky130_fd_sc_hd__clkinv_2) + 0.13 14.60 ^ mgmt_buffers/user_to_mprj_oen_buffers[46]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.60 ^ mprj/la_oenb[81] (user_project_wrapper) + 14.60 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38567_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[125] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.47 ^ soc/core/_38567_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.92 13.38 ^ soc/core/_38567_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.25 13.64 v soc/core/_21267_/Y (sky130_fd_sc_hd__clkinv_2) + 0.28 13.91 v soc/core/output430/X (sky130_fd_sc_hd__clkbuf_4) + 0.34 14.25 v mgmt_buffers/input438/X (sky130_fd_sc_hd__buf_2) + 0.24 14.49 ^ mgmt_buffers/_594_/Y (sky130_fd_sc_hd__clkinv_4) + 0.10 14.59 v mgmt_buffers/user_to_mprj_oen_buffers[2]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.59 v mprj/la_oenb[125] (user_project_wrapper) + 14.59 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38514_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[50] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.52 ^ soc/core/_38514_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.99 13.52 ^ soc/core/_38514_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.16 13.67 v soc/core/_32244_/Y (sky130_fd_sc_hd__inv_2) + 0.24 13.91 v soc/core/output482/X (sky130_fd_sc_hd__clkbuf_4) + 0.36 14.28 v mgmt_buffers/input490/X (sky130_fd_sc_hd__clkbuf_4) + 0.23 14.51 ^ mgmt_buffers/_340_/Y (sky130_fd_sc_hd__clkinv_2) + 0.08 14.59 v mgmt_buffers/user_to_mprj_oen_buffers[77]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.59 v mprj/la_oenb[50] (user_project_wrapper) + 14.59 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38548_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[80] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.46 ^ soc/core/_38548_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.74 13.20 v soc/core/_38548_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.24 13.45 ^ soc/core/_21331_/Y (sky130_fd_sc_hd__inv_2) + 0.29 13.74 ^ soc/core/output449/X (sky130_fd_sc_hd__clkbuf_4) + 0.40 14.14 ^ mgmt_buffers/input457/X (sky130_fd_sc_hd__buf_4) + 0.32 14.46 v mgmt_buffers/_639_/Y (sky130_fd_sc_hd__clkinv_2) + 0.12 14.58 ^ mgmt_buffers/user_to_mprj_oen_buffers[47]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.58 ^ mprj/la_oenb[80] (user_project_wrapper) + 14.58 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38538_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[90] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.45 ^ soc/core/_38538_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.96 13.42 ^ soc/core/_38538_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.26 13.67 v soc/core/_21366_/Y (sky130_fd_sc_hd__inv_2) + 0.27 13.95 v soc/core/output438/X (sky130_fd_sc_hd__clkbuf_4) + 0.28 14.23 v mgmt_buffers/input446/X (sky130_fd_sc_hd__clkbuf_2) + 0.25 14.48 ^ mgmt_buffers/_629_/Y (sky130_fd_sc_hd__clkinv_4) + 0.10 14.58 v mgmt_buffers/user_to_mprj_oen_buffers[37]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.58 v mprj/la_oenb[90] (user_project_wrapper) + 14.58 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38559_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[69] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.40 ^ soc/core/_38559_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.71 13.11 v soc/core/_38559_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.23 13.34 ^ soc/core/_21294_/Y (sky130_fd_sc_hd__inv_2) + 0.28 13.62 ^ soc/core/output461/X (sky130_fd_sc_hd__clkbuf_4) + 0.46 14.08 ^ mgmt_buffers/input469/X (sky130_fd_sc_hd__buf_2) + 0.36 14.44 v mgmt_buffers/_650_/Y (sky130_fd_sc_hd__clkinv_2) + 0.13 14.58 ^ mgmt_buffers/user_to_mprj_oen_buffers[58]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.58 ^ mprj/la_oenb[69] (user_project_wrapper) + 14.58 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38594_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[98] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.42 ^ soc/core/_38594_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.85 13.27 ^ soc/core/_38594_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.17 13.45 v soc/core/_21177_/Y (sky130_fd_sc_hd__inv_2) + 0.25 13.69 v soc/core/output429/X (sky130_fd_sc_hd__clkbuf_4) + 0.37 14.06 v mgmt_buffers/input437/X (sky130_fd_sc_hd__buf_2) + 0.39 14.45 ^ mgmt_buffers/_621_/Y (sky130_fd_sc_hd__inv_2) + 0.12 14.57 v mgmt_buffers/user_to_mprj_oen_buffers[29]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.57 v mprj/la_oenb[98] (user_project_wrapper) + 14.57 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38573_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[119] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.42 ^ soc/core/_38573_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.76 13.18 v soc/core/_38573_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.31 13.49 ^ soc/core/_21246_/Y (sky130_fd_sc_hd__inv_2) + 0.32 13.81 ^ soc/core/output496/X (sky130_fd_sc_hd__clkbuf_4) + 0.30 14.11 ^ mgmt_buffers/input504/X (sky130_fd_sc_hd__buf_2) + 0.30 14.41 v mgmt_buffers/_600_/Y (sky130_fd_sc_hd__clkinv_4) + 0.16 14.57 ^ mgmt_buffers/user_to_mprj_oen_buffers[8]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.57 ^ mprj/la_oenb[119] (user_project_wrapper) + 14.57 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38592_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[100] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.45 ^ soc/core/_38592_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.85 13.30 ^ soc/core/_38592_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.31 13.61 v soc/core/_21183_/Y (sky130_fd_sc_hd__clkinv_2) + 0.31 13.92 v soc/core/output427/X (sky130_fd_sc_hd__clkbuf_4) + 0.34 14.26 v mgmt_buffers/input435/X (sky130_fd_sc_hd__buf_2) + 0.22 14.48 ^ mgmt_buffers/_619_/Y (sky130_fd_sc_hd__clkinv_2) + 0.08 14.56 v mgmt_buffers/user_to_mprj_oen_buffers[27]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.56 v mprj/la_oenb[100] (user_project_wrapper) + 14.56 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38576_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[116] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.42 ^ soc/core/_38576_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.89 13.32 ^ soc/core/_38576_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.20 13.52 v soc/core/_21237_/Y (sky130_fd_sc_hd__inv_2) + 0.26 13.78 v soc/core/output402/X (sky130_fd_sc_hd__clkbuf_4) + 0.30 14.08 v mgmt_buffers/input410/X (sky130_fd_sc_hd__clkbuf_2) + 0.36 14.44 ^ mgmt_buffers/_603_/Y (sky130_fd_sc_hd__inv_2) + 0.11 14.55 v mgmt_buffers/user_to_mprj_oen_buffers[11]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.55 v mprj/la_oenb[116] (user_project_wrapper) + 14.55 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38545_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[83] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.47 ^ soc/core/_38545_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.76 13.23 v soc/core/_38545_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.25 13.47 ^ soc/core/_21342_/Y (sky130_fd_sc_hd__inv_2) + 0.28 13.75 ^ soc/core/output446/X (sky130_fd_sc_hd__clkbuf_4) + 0.35 14.10 ^ mgmt_buffers/input454/X (sky130_fd_sc_hd__buf_2) + 0.30 14.40 v mgmt_buffers/_636_/Y (sky130_fd_sc_hd__clkinv_4) + 0.15 14.55 ^ mgmt_buffers/user_to_mprj_oen_buffers[44]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.55 ^ mprj/la_oenb[83] (user_project_wrapper) + 14.55 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38593_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[99] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.42 ^ soc/core/_38593_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.72 13.14 v soc/core/_38593_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.24 13.39 ^ soc/core/_21180_/Y (sky130_fd_sc_hd__inv_2) + 0.29 13.68 ^ soc/core/output428/X (sky130_fd_sc_hd__clkbuf_4) + 0.41 14.09 ^ mgmt_buffers/input436/X (sky130_fd_sc_hd__clkbuf_4) + 0.32 14.41 v mgmt_buffers/_620_/Y (sky130_fd_sc_hd__clkinv_2) + 0.14 14.54 ^ mgmt_buffers/user_to_mprj_oen_buffers[28]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.54 ^ mprj/la_oenb[99] (user_project_wrapper) + 14.54 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38595_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[97] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.45 ^ soc/core/_38595_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.73 13.18 v soc/core/_38595_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.21 13.39 ^ soc/core/_21174_/Y (sky130_fd_sc_hd__inv_2) + 0.27 13.66 ^ soc/core/output431/X (sky130_fd_sc_hd__clkbuf_4) + 0.39 14.05 ^ mgmt_buffers/input439/X (sky130_fd_sc_hd__buf_2) + 0.34 14.39 v mgmt_buffers/_622_/Y (sky130_fd_sc_hd__clkinv_4) + 0.16 14.54 ^ mgmt_buffers/user_to_mprj_oen_buffers[30]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.54 ^ mprj/la_oenb[97] (user_project_wrapper) + 14.54 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38517_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[47] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.49 ^ soc/core/_38517_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.94 13.43 ^ soc/core/_38517_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.14 13.57 v soc/core/_32247_/Y (sky130_fd_sc_hd__inv_2) + 0.24 13.80 v soc/core/output486/X (sky130_fd_sc_hd__clkbuf_4) + 0.42 14.22 v mgmt_buffers/input494/X (sky130_fd_sc_hd__clkbuf_4) + 0.24 14.46 ^ mgmt_buffers/_343_/Y (sky130_fd_sc_hd__clkinv_2) + 0.08 14.54 v mgmt_buffers/user_to_mprj_oen_buffers[80]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.54 v mprj/la_oenb[47] (user_project_wrapper) + 14.54 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38553_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[75] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.48 ^ soc/core/_38553_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.91 13.39 ^ soc/core/_38553_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.24 13.63 v soc/core/_21315_/Y (sky130_fd_sc_hd__inv_2) + 0.27 13.90 v soc/core/output455/X (sky130_fd_sc_hd__clkbuf_4) + 0.33 14.23 v mgmt_buffers/input463/X (sky130_fd_sc_hd__clkbuf_2) + 0.24 14.46 ^ mgmt_buffers/_644_/Y (sky130_fd_sc_hd__inv_2) + 0.08 14.54 v mgmt_buffers/user_to_mprj_oen_buffers[52]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.54 v mprj/la_oenb[75] (user_project_wrapper) + 14.54 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38501_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[63] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.47 ^ soc/core/_38501_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.95 13.42 ^ soc/core/_38501_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.22 13.64 v soc/core/_32231_/Y (sky130_fd_sc_hd__inv_2) + 0.26 13.89 v soc/core/output468/X (sky130_fd_sc_hd__clkbuf_4) + 0.35 14.24 v mgmt_buffers/input476/X (sky130_fd_sc_hd__buf_2) + 0.22 14.46 ^ mgmt_buffers/_656_/Y (sky130_fd_sc_hd__inv_2) + 0.08 14.54 v mgmt_buffers/user_to_mprj_oen_buffers[64]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.54 v mprj/la_oenb[63] (user_project_wrapper) + 14.54 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38591_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[101] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.45 ^ soc/core/_38591_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.88 13.33 ^ soc/core/_38591_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.23 13.56 v soc/core/_21186_/Y (sky130_fd_sc_hd__inv_2) + 0.27 13.82 v soc/core/output426/X (sky130_fd_sc_hd__clkbuf_4) + 0.26 14.09 v mgmt_buffers/input434/X (sky130_fd_sc_hd__clkbuf_2) + 0.34 14.42 ^ mgmt_buffers/_618_/Y (sky130_fd_sc_hd__inv_2) + 0.11 14.53 v mgmt_buffers/user_to_mprj_oen_buffers[26]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.53 v mprj/la_oenb[101] (user_project_wrapper) + 14.53 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38504_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[60] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.47 ^ soc/core/_38504_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.95 13.42 ^ soc/core/_38504_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.22 13.64 v soc/core/_32234_/Y (sky130_fd_sc_hd__inv_2) + 0.26 13.90 v soc/core/output471/X (sky130_fd_sc_hd__clkbuf_4) + 0.36 14.26 v mgmt_buffers/input479/X (sky130_fd_sc_hd__buf_4) + 0.20 14.46 ^ mgmt_buffers/_330_/Y (sky130_fd_sc_hd__clkinv_2) + 0.07 14.53 v mgmt_buffers/user_to_mprj_oen_buffers[67]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.53 v mprj/la_oenb[60] (user_project_wrapper) + 14.53 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38563_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[65] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.51 ^ soc/core/_38563_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.79 13.30 ^ soc/core/_38563_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.28 13.59 v soc/core/_21282_/Y (sky130_fd_sc_hd__clkinv_2) + 0.31 13.90 v soc/core/output466/X (sky130_fd_sc_hd__clkbuf_4) + 0.36 14.26 v mgmt_buffers/input474/X (sky130_fd_sc_hd__buf_2) + 0.20 14.45 ^ mgmt_buffers/_654_/Y (sky130_fd_sc_hd__clkinv_2) + 0.07 14.53 v mgmt_buffers/user_to_mprj_oen_buffers[62]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.53 v mprj/la_oenb[65] (user_project_wrapper) + 14.53 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38582_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[110] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.42 ^ soc/core/_38582_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.85 13.27 ^ soc/core/_38582_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.18 13.45 v soc/core/_21216_/Y (sky130_fd_sc_hd__inv_2) + 0.25 13.70 v soc/core/output416/X (sky130_fd_sc_hd__clkbuf_4) + 0.35 14.04 v mgmt_buffers/input424/X (sky130_fd_sc_hd__buf_2) + 0.37 14.41 ^ mgmt_buffers/_609_/Y (sky130_fd_sc_hd__inv_2) + 0.11 14.52 v mgmt_buffers/user_to_mprj_oen_buffers[17]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.52 v mprj/la_oenb[110] (user_project_wrapper) + 14.52 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38539_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[89] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.45 ^ soc/core/_38539_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.95 13.40 ^ soc/core/_38539_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.23 13.63 v soc/core/_21363_/Y (sky130_fd_sc_hd__inv_2) + 0.27 13.90 v soc/core/output439/X (sky130_fd_sc_hd__clkbuf_4) + 0.28 14.18 v mgmt_buffers/input447/X (sky130_fd_sc_hd__clkbuf_2) + 0.24 14.42 ^ mgmt_buffers/_630_/Y (sky130_fd_sc_hd__clkinv_4) + 0.10 14.52 v mgmt_buffers/user_to_mprj_oen_buffers[38]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.52 v mprj/la_oenb[89] (user_project_wrapper) + 14.52 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38590_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[102] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.42 ^ soc/core/_38590_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.74 13.16 v soc/core/_38590_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.20 13.36 ^ soc/core/_21189_/Y (sky130_fd_sc_hd__inv_2) + 0.26 13.62 ^ soc/core/output425/X (sky130_fd_sc_hd__clkbuf_4) + 0.40 14.02 ^ mgmt_buffers/input433/X (sky130_fd_sc_hd__buf_2) + 0.34 14.36 v mgmt_buffers/_617_/Y (sky130_fd_sc_hd__clkinv_2) + 0.14 14.49 ^ mgmt_buffers/user_to_mprj_oen_buffers[25]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.49 ^ mprj/la_oenb[102] (user_project_wrapper) + 14.49 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38556_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[72] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.48 ^ soc/core/_38556_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.76 13.24 v soc/core/_38556_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.20 13.43 ^ soc/core/_21304_/Y (sky130_fd_sc_hd__inv_2) + 0.25 13.68 ^ soc/core/output458/X (sky130_fd_sc_hd__clkbuf_4) + 0.35 14.04 ^ mgmt_buffers/input466/X (sky130_fd_sc_hd__buf_2) + 0.31 14.35 v mgmt_buffers/_647_/Y (sky130_fd_sc_hd__clkinv_2) + 0.14 14.49 ^ mgmt_buffers/user_to_mprj_oen_buffers[55]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.49 ^ mprj/la_oenb[72] (user_project_wrapper) + 14.49 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38550_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[78] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.47 ^ soc/core/_38550_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.71 13.18 v soc/core/_38550_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.16 13.34 ^ soc/core/_21325_/Y (sky130_fd_sc_hd__inv_2) + 0.24 13.58 ^ soc/core/output451/X (sky130_fd_sc_hd__clkbuf_4) + 0.42 13.99 ^ mgmt_buffers/input459/X (sky130_fd_sc_hd__clkbuf_4) + 0.35 14.34 v mgmt_buffers/_641_/Y (sky130_fd_sc_hd__clkinv_2) + 0.15 14.49 ^ mgmt_buffers/user_to_mprj_oen_buffers[49]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.49 ^ mprj/la_oenb[78] (user_project_wrapper) + 14.49 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38574_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[118] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.42 ^ soc/core/_38574_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.85 13.27 ^ soc/core/_38574_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.27 13.54 v soc/core/_21243_/Y (sky130_fd_sc_hd__inv_2) + 0.30 13.84 v soc/core/output507/X (sky130_fd_sc_hd__clkbuf_4) + 0.27 14.11 v mgmt_buffers/input515/X (sky130_fd_sc_hd__clkbuf_2) + 0.28 14.39 ^ mgmt_buffers/_601_/Y (sky130_fd_sc_hd__inv_2) + 0.10 14.48 v mgmt_buffers/user_to_mprj_oen_buffers[9]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.48 v mprj/la_oenb[118] (user_project_wrapper) + 14.48 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38503_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[61] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.52 ^ soc/core/_38503_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.88 13.40 ^ soc/core/_38503_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.17 13.57 v soc/core/_32233_/Y (sky130_fd_sc_hd__inv_2) + 0.25 13.82 v soc/core/output470/X (sky130_fd_sc_hd__clkbuf_4) + 0.36 14.18 v mgmt_buffers/input478/X (sky130_fd_sc_hd__buf_4) + 0.22 14.40 ^ mgmt_buffers/_329_/Y (sky130_fd_sc_hd__inv_2) + 0.08 14.48 v mgmt_buffers/user_to_mprj_oen_buffers[66]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.48 v mprj/la_oenb[61] (user_project_wrapper) + 14.48 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38518_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[46] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.49 ^ soc/core/_38518_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.89 13.38 ^ soc/core/_38518_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.15 13.53 v soc/core/_32248_/Y (sky130_fd_sc_hd__inv_2) + 0.24 13.77 v soc/core/output487/X (sky130_fd_sc_hd__clkbuf_4) + 0.38 14.15 v mgmt_buffers/input495/X (sky130_fd_sc_hd__buf_4) + 0.25 14.39 ^ mgmt_buffers/_344_/Y (sky130_fd_sc_hd__inv_2) + 0.08 14.47 v mgmt_buffers/user_to_mprj_oen_buffers[81]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.47 v mprj/la_oenb[46] (user_project_wrapper) + 14.47 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38505_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[59] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.52 ^ soc/core/_38505_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.89 13.40 ^ soc/core/_38505_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.18 13.58 v soc/core/_32235_/Y (sky130_fd_sc_hd__inv_2) + 0.25 13.83 v soc/core/output472/X (sky130_fd_sc_hd__clkbuf_4) + 0.32 14.15 v mgmt_buffers/input480/X (sky130_fd_sc_hd__clkbuf_2) + 0.24 14.39 ^ mgmt_buffers/_331_/Y (sky130_fd_sc_hd__inv_2) + 0.08 14.47 v mgmt_buffers/user_to_mprj_oen_buffers[68]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.47 v mprj/la_oenb[59] (user_project_wrapper) + 14.47 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38572_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[120] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.42 ^ soc/core/_38572_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.94 13.36 ^ soc/core/_38572_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.21 13.57 v soc/core/_21249_/Y (sky130_fd_sc_hd__inv_2) + 0.26 13.83 v soc/core/output485/X (sky130_fd_sc_hd__clkbuf_4) + 0.33 14.16 v mgmt_buffers/input493/X (sky130_fd_sc_hd__buf_2) + 0.21 14.37 ^ mgmt_buffers/_599_/Y (sky130_fd_sc_hd__clkinv_4) + 0.09 14.46 v mgmt_buffers/user_to_mprj_oen_buffers[7]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.46 v mprj/la_oenb[120] (user_project_wrapper) + 14.46 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38589_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[103] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.42 ^ soc/core/_38589_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.72 13.14 v soc/core/_38589_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.22 13.36 ^ soc/core/_21192_/Y (sky130_fd_sc_hd__inv_2) + 0.28 13.64 ^ soc/core/output424/X (sky130_fd_sc_hd__clkbuf_4) + 0.40 14.04 ^ mgmt_buffers/input432/X (sky130_fd_sc_hd__clkbuf_4) + 0.29 14.33 v mgmt_buffers/_616_/Y (sky130_fd_sc_hd__clkinv_2) + 0.13 14.46 ^ mgmt_buffers/user_to_mprj_oen_buffers[24]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.46 ^ mprj/la_oenb[103] (user_project_wrapper) + 14.46 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38571_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[121] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.42 ^ soc/core/_38571_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.96 13.39 ^ soc/core/_38571_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.25 13.64 v soc/core/_21255_/Y (sky130_fd_sc_hd__inv_2) + 0.27 13.91 v soc/core/output474/X (sky130_fd_sc_hd__clkbuf_4) + 0.29 14.19 v mgmt_buffers/input482/X (sky130_fd_sc_hd__clkbuf_2) + 0.19 14.38 ^ mgmt_buffers/_598_/Y (sky130_fd_sc_hd__clkinv_2) + 0.07 14.46 v mgmt_buffers/user_to_mprj_oen_buffers[6]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.46 v mprj/la_oenb[121] (user_project_wrapper) + 14.46 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38506_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[58] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.47 ^ soc/core/_38506_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.91 13.38 ^ soc/core/_38506_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.18 13.56 v soc/core/_32236_/Y (sky130_fd_sc_hd__inv_2) + 0.25 13.81 v soc/core/output473/X (sky130_fd_sc_hd__clkbuf_4) + 0.33 14.14 v mgmt_buffers/input481/X (sky130_fd_sc_hd__clkbuf_2) + 0.24 14.38 ^ mgmt_buffers/_332_/Y (sky130_fd_sc_hd__inv_2) + 0.08 14.46 v mgmt_buffers/user_to_mprj_oen_buffers[69]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.46 v mprj/la_oenb[58] (user_project_wrapper) + 14.46 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38549_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[79] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.47 ^ soc/core/_38549_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.71 13.17 v soc/core/_38549_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.17 13.34 ^ soc/core/_21328_/Y (sky130_fd_sc_hd__inv_2) + 0.25 13.59 ^ soc/core/output450/X (sky130_fd_sc_hd__clkbuf_4) + 0.39 13.98 ^ mgmt_buffers/input458/X (sky130_fd_sc_hd__buf_2) + 0.32 14.29 v mgmt_buffers/_640_/Y (sky130_fd_sc_hd__clkinv_4) + 0.15 14.44 ^ mgmt_buffers/user_to_mprj_oen_buffers[48]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.44 ^ mprj/la_oenb[79] (user_project_wrapper) + 14.44 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38586_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[106] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.42 ^ soc/core/_38586_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.75 13.17 v soc/core/_38586_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.21 13.38 ^ soc/core/_21204_/Y (sky130_fd_sc_hd__inv_2) + 0.26 13.64 ^ soc/core/output421/X (sky130_fd_sc_hd__clkbuf_4) + 0.37 14.01 ^ mgmt_buffers/input429/X (sky130_fd_sc_hd__buf_2) + 0.30 14.31 v mgmt_buffers/_613_/Y (sky130_fd_sc_hd__clkinv_2) + 0.12 14.43 ^ mgmt_buffers/user_to_mprj_oen_buffers[21]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.43 ^ mprj/la_oenb[106] (user_project_wrapper) + 14.43 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38596_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[96] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.45 ^ soc/core/_38596_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.73 13.18 v soc/core/_38596_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.19 13.37 ^ soc/core/_21166_/Y (sky130_fd_sc_hd__inv_2) + 0.26 13.62 ^ soc/core/output432/X (sky130_fd_sc_hd__clkbuf_4) + 0.35 13.98 ^ mgmt_buffers/input440/X (sky130_fd_sc_hd__buf_2) + 0.30 14.27 v mgmt_buffers/_623_/Y (sky130_fd_sc_hd__clkinv_4) + 0.14 14.42 ^ mgmt_buffers/user_to_mprj_oen_buffers[31]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.42 ^ mprj/la_oenb[96] (user_project_wrapper) + 14.42 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38580_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[112] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.42 ^ soc/core/_38580_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.81 13.23 v soc/core/_38580_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.17 13.40 ^ soc/core/_21222_/Y (sky130_fd_sc_hd__inv_2) + 0.25 13.64 ^ soc/core/output414/X (sky130_fd_sc_hd__clkbuf_4) + 0.31 13.96 ^ mgmt_buffers/input422/X (sky130_fd_sc_hd__clkbuf_2) + 0.30 14.25 v mgmt_buffers/_607_/Y (sky130_fd_sc_hd__clkinv_4) + 0.16 14.41 ^ mgmt_buffers/user_to_mprj_oen_buffers[15]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.41 ^ mprj/la_oenb[112] (user_project_wrapper) + 14.41 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38520_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[44] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.49 ^ soc/core/_38520_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.75 13.24 v soc/core/_38520_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.13 13.37 ^ soc/core/_32250_/Y (sky130_fd_sc_hd__inv_2) + 0.22 13.59 ^ soc/core/output489/X (sky130_fd_sc_hd__clkbuf_4) + 0.39 13.98 ^ mgmt_buffers/input497/X (sky130_fd_sc_hd__buf_4) + 0.30 14.29 v mgmt_buffers/_346_/Y (sky130_fd_sc_hd__clkinv_2) + 0.12 14.40 ^ mgmt_buffers/user_to_mprj_oen_buffers[83]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.40 ^ mprj/la_oenb[44] (user_project_wrapper) + 14.40 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38585_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[107] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.42 ^ soc/core/_38585_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.76 13.18 v soc/core/_38585_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.17 13.35 ^ soc/core/_21207_/Y (sky130_fd_sc_hd__inv_2) + 0.25 13.60 ^ soc/core/output420/X (sky130_fd_sc_hd__clkbuf_4) + 0.33 13.92 ^ mgmt_buffers/input428/X (sky130_fd_sc_hd__clkbuf_2) + 0.30 14.23 v mgmt_buffers/_612_/Y (sky130_fd_sc_hd__clkinv_4) + 0.16 14.39 ^ mgmt_buffers/user_to_mprj_oen_buffers[20]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.39 ^ mprj/la_oenb[107] (user_project_wrapper) + 14.39 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38569_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[123] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.40 ^ soc/core/_38569_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.80 13.20 v soc/core/_38569_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.17 13.37 ^ soc/core/_21261_/Y (sky130_fd_sc_hd__inv_2) + 0.24 13.61 ^ soc/core/output452/X (sky130_fd_sc_hd__clkbuf_4) + 0.33 13.94 ^ mgmt_buffers/input460/X (sky130_fd_sc_hd__buf_2) + 0.29 14.24 v mgmt_buffers/_596_/Y (sky130_fd_sc_hd__clkinv_4) + 0.15 14.39 ^ mgmt_buffers/user_to_mprj_oen_buffers[4]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.39 ^ mprj/la_oenb[123] (user_project_wrapper) + 14.39 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38577_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[115] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.42 ^ soc/core/_38577_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.74 13.16 v soc/core/_38577_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.20 13.36 ^ soc/core/_21234_/Y (sky130_fd_sc_hd__inv_2) + 0.27 13.62 ^ soc/core/output411/X (sky130_fd_sc_hd__clkbuf_4) + 0.33 13.95 ^ mgmt_buffers/input419/X (sky130_fd_sc_hd__buf_2) + 0.28 14.24 v mgmt_buffers/_604_/Y (sky130_fd_sc_hd__clkinv_4) + 0.15 14.38 ^ mgmt_buffers/user_to_mprj_oen_buffers[12]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.38 ^ mprj/la_oenb[115] (user_project_wrapper) + 14.38 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38584_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[108] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.42 ^ soc/core/_38584_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.77 13.19 v soc/core/_38584_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.18 13.37 ^ soc/core/_21210_/Y (sky130_fd_sc_hd__inv_2) + 0.25 13.62 ^ soc/core/output418/X (sky130_fd_sc_hd__clkbuf_4) + 0.32 13.93 ^ mgmt_buffers/input426/X (sky130_fd_sc_hd__clkbuf_2) + 0.29 14.23 v mgmt_buffers/_611_/Y (sky130_fd_sc_hd__clkinv_2) + 0.14 14.37 ^ mgmt_buffers/user_to_mprj_oen_buffers[19]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.37 ^ mprj/la_oenb[108] (user_project_wrapper) + 14.37 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38521_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[43] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.49 ^ soc/core/_38521_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.82 13.31 ^ soc/core/_38521_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.11 13.42 v soc/core/_32251_/Y (sky130_fd_sc_hd__inv_2) + 0.23 13.65 v soc/core/output490/X (sky130_fd_sc_hd__clkbuf_4) + 0.38 14.03 v mgmt_buffers/input498/X (sky130_fd_sc_hd__buf_4) + 0.26 14.29 ^ mgmt_buffers/_347_/Y (sky130_fd_sc_hd__inv_2) + 0.08 14.36 v mgmt_buffers/user_to_mprj_oen_buffers[84]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.36 v mprj/la_oenb[43] (user_project_wrapper) + 14.36 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38579_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[113] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.42 ^ soc/core/_38579_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.91 13.33 ^ soc/core/_38579_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.17 13.50 v soc/core/_21228_/Y (sky130_fd_sc_hd__inv_2) + 0.25 13.75 v soc/core/output413/X (sky130_fd_sc_hd__clkbuf_4) + 0.33 14.07 v mgmt_buffers/input421/X (sky130_fd_sc_hd__buf_2) + 0.20 14.27 ^ mgmt_buffers/_606_/Y (sky130_fd_sc_hd__clkinv_4) + 0.09 14.36 v mgmt_buffers/user_to_mprj_oen_buffers[14]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.36 v mprj/la_oenb[113] (user_project_wrapper) + 14.36 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38544_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[84] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.41 ^ soc/core/_38544_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.70 13.11 v soc/core/_38544_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.16 13.27 ^ soc/core/_21345_/Y (sky130_fd_sc_hd__inv_2) + 0.25 13.52 ^ soc/core/output445/X (sky130_fd_sc_hd__clkbuf_4) + 0.40 13.92 ^ mgmt_buffers/input453/X (sky130_fd_sc_hd__buf_4) + 0.31 14.23 v mgmt_buffers/_635_/Y (sky130_fd_sc_hd__clkinv_2) + 0.12 14.35 ^ mgmt_buffers/user_to_mprj_oen_buffers[43]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.35 ^ mprj/la_oenb[84] (user_project_wrapper) + 14.35 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38583_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[109] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.39 ^ soc/core/_38583_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.89 13.28 ^ soc/core/_38583_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.19 13.47 v soc/core/_21213_/Y (sky130_fd_sc_hd__inv_2) + 0.25 13.72 v soc/core/output417/X (sky130_fd_sc_hd__clkbuf_4) + 0.32 14.05 v mgmt_buffers/input425/X (sky130_fd_sc_hd__clkbuf_2) + 0.22 14.26 ^ mgmt_buffers/_610_/Y (sky130_fd_sc_hd__clkinv_2) + 0.07 14.33 v mgmt_buffers/user_to_mprj_oen_buffers[18]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.33 v mprj/la_oenb[109] (user_project_wrapper) + 14.33 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38575_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[117] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.42 ^ soc/core/_38575_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.88 13.31 ^ soc/core/_38575_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.20 13.51 v soc/core/_21240_/Y (sky130_fd_sc_hd__inv_2) + 0.26 13.77 v soc/core/output391/X (sky130_fd_sc_hd__clkbuf_4) + 0.28 14.05 v mgmt_buffers/input399/X (sky130_fd_sc_hd__clkbuf_2) + 0.20 14.26 ^ mgmt_buffers/_602_/Y (sky130_fd_sc_hd__clkinv_2) + 0.08 14.33 v mgmt_buffers/user_to_mprj_oen_buffers[10]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.33 v mprj/la_oenb[117] (user_project_wrapper) + 14.33 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38587_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[105] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.42 ^ soc/core/_38587_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.83 13.26 ^ soc/core/_38587_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.17 13.42 v soc/core/_21201_/Y (sky130_fd_sc_hd__inv_2) + 0.25 13.67 v soc/core/output422/X (sky130_fd_sc_hd__clkbuf_4) + 0.32 13.99 v mgmt_buffers/input430/X (sky130_fd_sc_hd__clkbuf_2) + 0.24 14.23 ^ mgmt_buffers/_614_/Y (sky130_fd_sc_hd__inv_2) + 0.08 14.31 v mgmt_buffers/user_to_mprj_oen_buffers[22]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.31 v mprj/la_oenb[105] (user_project_wrapper) + 14.31 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38578_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[114] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.42 ^ soc/core/_38578_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.75 13.17 v soc/core/_38578_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.15 13.32 ^ soc/core/_21231_/Y (sky130_fd_sc_hd__inv_2) + 0.24 13.56 ^ soc/core/output412/X (sky130_fd_sc_hd__clkbuf_4) + 0.31 13.87 ^ mgmt_buffers/input420/X (sky130_fd_sc_hd__clkbuf_2) + 0.28 14.16 v mgmt_buffers/_605_/Y (sky130_fd_sc_hd__clkinv_2) + 0.13 14.29 ^ mgmt_buffers/user_to_mprj_oen_buffers[13]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.29 ^ mprj/la_oenb[114] (user_project_wrapper) + 14.29 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38519_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[45] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.49 ^ soc/core/_38519_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.68 13.17 v soc/core/_38519_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.11 13.28 ^ soc/core/_32249_/Y (sky130_fd_sc_hd__inv_2) + 0.22 13.50 ^ soc/core/output488/X (sky130_fd_sc_hd__clkbuf_4) + 0.40 13.90 ^ mgmt_buffers/input496/X (sky130_fd_sc_hd__clkbuf_4) + 0.27 14.17 v mgmt_buffers/_345_/Y (sky130_fd_sc_hd__clkinv_2) + 0.12 14.29 ^ mgmt_buffers/user_to_mprj_oen_buffers[82]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.29 ^ mprj/la_oenb[45] (user_project_wrapper) + 14.29 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38568_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[124] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.40 ^ soc/core/_38568_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.89 13.29 ^ soc/core/_38568_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.16 13.45 v soc/core/_21264_/Y (sky130_fd_sc_hd__inv_2) + 0.24 13.70 v soc/core/output441/X (sky130_fd_sc_hd__clkbuf_4) + 0.29 13.98 v mgmt_buffers/input449/X (sky130_fd_sc_hd__clkbuf_2) + 0.21 14.19 ^ mgmt_buffers/_595_/Y (sky130_fd_sc_hd__inv_2) + 0.08 14.27 v mgmt_buffers/user_to_mprj_oen_buffers[3]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.27 v mprj/la_oenb[124] (user_project_wrapper) + 14.27 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38546_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[82] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.41 ^ soc/core/_38546_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.72 13.13 ^ soc/core/_38546_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.12 13.26 v soc/core/_21339_/Y (sky130_fd_sc_hd__inv_2) + 0.24 13.50 v soc/core/output447/X (sky130_fd_sc_hd__clkbuf_4) + 0.31 13.81 v mgmt_buffers/input455/X (sky130_fd_sc_hd__clkbuf_2) + 0.34 14.14 ^ mgmt_buffers/_637_/Y (sky130_fd_sc_hd__inv_2) + 0.10 14.25 v mgmt_buffers/user_to_mprj_oen_buffers[45]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.25 v mprj/la_oenb[82] (user_project_wrapper) + 14.25 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38557_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[71] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.40 ^ soc/core/_38557_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.64 13.05 v soc/core/_38557_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.15 13.19 ^ soc/core/_21301_/Y (sky130_fd_sc_hd__inv_2) + 0.25 13.44 ^ soc/core/output459/X (sky130_fd_sc_hd__clkbuf_4) + 0.38 13.82 ^ mgmt_buffers/input467/X (sky130_fd_sc_hd__clkbuf_2) + 0.30 14.12 v mgmt_buffers/_648_/Y (sky130_fd_sc_hd__clkinv_2) + 0.12 14.24 ^ mgmt_buffers/user_to_mprj_oen_buffers[56]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.24 ^ mprj/la_oenb[71] (user_project_wrapper) + 14.24 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + diff --git a/signoff/caravel/caravel_timing_typ.log b/signoff/caravel/caravel_timing_typ.log new file mode 100644 index 00000000..71297bdf --- /dev/null +++ b/signoff/caravel/caravel_timing_typ.log @@ -0,0 +1,45704 @@ +OpenSTA 2.3.1 81ad79bb7b Copyright (c) 2019, Parallax Software, Inc. +License GPLv3: GNU GPL version 3 + +This is free software, and you are free to change and redistribute it +under certain conditions; type `show_copying' for details. +This program comes with ABSOLUTELY NO WARRANTY; for details type `show_warranty'. +Warning: /home/ma/ef/sky130A/libs.ref/sky130_fd_sc_hvl/lib/sky130_fd_sc_hvl__tt_025C_3v30_lv1v80.lib line 1, default_operating_condition tt_025C_3v30_lv1v80 not found. +Warning: ./verilog/gl/caravel.v line 49, module sky130_fd_sc_hvl__fill_2 not found. Creating black box for FILLER_0_28. +Warning: ./verilog/gl/caravel.v line 55, module sky130_fd_sc_hvl__fill_1 not found. Creating black box for FILLER_0_30. +Warning: ./verilog/gl/caravel.v line 705, module sky130_fd_sc_hd__fill_2 not found. Creating black box for FILLER_0_11. +Warning: ./verilog/gl/caravel.v line 709, module sky130_fd_sc_hd__fill_1 not found. Creating black box for FILLER_0_113. +Warning: ./verilog/gl/caravel.v line 1224, module sky130_ef_io__corner_pad not found. Creating black box for \mgmt_corner[0] . +Warning: ./verilog/gl/caravel.v line 1282, module sky130_ef_io__vddio_hvc_clamped_pad not found. Creating black box for \mgmt_vddio_hvclamp_pad[0] . +Warning: ./verilog/gl/caravel.v line 1342, module sky130_ef_io__vssio_hvc_clamped_pad not found. Creating black box for \mgmt_vssio_hvclamp_pad[0] . +Warning: ./verilog/gl/caravel.v line 1973, module sky130_fd_sc_hd__tapvpwrvgnd_1 not found. Creating black box for TAP_100. +Warning: ./verilog/gl/caravel.v line 4647, module user_project_wrapper not found. Creating black box for mprj. +Warning: ./verilog/gl/caravel.v line 4766, module simple_por not found. Creating black box for por. +_70de0a1797550000_p_Instance +soc/DFFRAM_0 +_e0ea0a1797550000_p_Instance +soc/core +_d0d4051797550000_p_Instance +soc +_80a19d1697550000_p_Instance +padframe +Warning: ./spef/chip_io.spef line 9225, syntax error, unexpected CAP, expecting KW_P or KW_I. +_b0bd051797550000_p_Instance +rstb_level +_5063ff1697550000_p_Instance +pll +_609b261697550000_p_Instance +housekeeping +Warning: ./spef/housekeeping.spef line 29368, pwr_ctrl_out[0] not connected to net housekeeping/pwr_ctrl_out[0]. +Warning: ./spef/housekeeping.spef line 29375, pwr_ctrl_out[0] not connected to net housekeeping/pwr_ctrl_out[0]. +Warning: ./spef/housekeeping.spef line 29383, pwr_ctrl_out[1] not connected to net housekeeping/pwr_ctrl_out[1]. +Warning: ./spef/housekeeping.spef line 29390, pwr_ctrl_out[1] not connected to net housekeeping/pwr_ctrl_out[1]. +Warning: ./spef/housekeeping.spef line 29398, pwr_ctrl_out[2] not connected to net housekeeping/pwr_ctrl_out[2]. +Warning: ./spef/housekeeping.spef line 29404, pwr_ctrl_out[2] not connected to net housekeeping/pwr_ctrl_out[2]. +Warning: ./spef/housekeeping.spef line 29412, pwr_ctrl_out[3] not connected to net housekeeping/pwr_ctrl_out[3]. +Warning: ./spef/housekeeping.spef line 29420, pwr_ctrl_out[3] not connected to net housekeeping/pwr_ctrl_out[3]. +_10e7f81697550000_p_Instance +mgmt_buffers/powergood_check +_80aef21697550000_p_Instance +mgmt_buffers/mprj_logic_high_inst +_d007f21697550000_p_Instance +mgmt_buffers/mprj2_logic_high_inst +_d041701697550000_p_Instance +mgmt_buffers +_4061c51597550000_p_Instance +gpio_control_bidir_1[0] +Warning: ./spef/gpio_control_block.spef line 1360, one not connected to net gpio_control_bidir_1\[0\]/one. +Warning: ./spef/gpio_control_block.spef line 1394, one not connected to net gpio_control_bidir_1\[0\]/one. +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_bidir_1\[0\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_bidir_1\[0\]/zero. +_10b1c51597550000_p_Instance +gpio_control_bidir_1[1] +Warning: ./spef/gpio_control_block.spef line 1360, one not connected to net gpio_control_bidir_1\[1\]/one. +Warning: ./spef/gpio_control_block.spef line 1394, one not connected to net gpio_control_bidir_1\[1\]/one. +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_bidir_1\[1\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_bidir_1\[1\]/zero. +_6045ca1597550000_p_Instance +gpio_control_bidir_2[1] +Warning: ./spef/gpio_control_block.spef line 1360, one not connected to net gpio_control_bidir_2\[1\]/one. +Warning: ./spef/gpio_control_block.spef line 1394, one not connected to net gpio_control_bidir_2\[1\]/one. +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_bidir_2\[1\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_bidir_2\[1\]/zero. +_80cecc1597550000_p_Instance +gpio_control_bidir_2[2] +Warning: ./spef/gpio_control_block.spef line 1360, one not connected to net gpio_control_bidir_2\[2\]/one. +Warning: ./spef/gpio_control_block.spef line 1394, one not connected to net gpio_control_bidir_2\[2\]/one. +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_bidir_2\[2\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_bidir_2\[2\]/zero. +_5071cf1597550000_p_Instance +gpio_control_in_1[0] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[0\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[0\]/zero. +_5031d21597550000_p_Instance +gpio_control_in_1[10] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[10\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[10\]/zero. +_70d6d41597550000_p_Instance +gpio_control_in_1[1] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[1\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[1\]/zero. +_d05ed71597550000_p_Instance +gpio_control_in_1[2] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[2\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[2\]/zero. +_108cda1597550000_p_Instance +gpio_control_in_1[3] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[3\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[3\]/zero. +_d0a3dc1597550000_p_Instance +gpio_control_in_1[4] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[4\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[4\]/zero. +_e045df1597550000_p_Instance +gpio_control_in_1[5] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[5\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[5\]/zero. +_f0e7e11597550000_p_Instance +gpio_control_in_1[6] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[6\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[6\]/zero. +_008ae41597550000_p_Instance +gpio_control_in_1[7] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[7\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[7\]/zero. +_102ce71597550000_p_Instance +gpio_control_in_1[8] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[8\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[8\]/zero. +_20cee91597550000_p_Instance +gpio_control_in_1[9] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1\[9\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1\[9\]/zero. +_e041ec1597550000_p_Instance +gpio_control_in_1a[0] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1a\[0\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1a\[0\]/zero. +_f00eef1597550000_p_Instance +gpio_control_in_1a[1] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1a\[1\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1a\[1\]/zero. +_d0b0f11597550000_p_Instance +gpio_control_in_1a[2] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1a\[2\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1a\[2\]/zero. +_b052f41597550000_p_Instance +gpio_control_in_1a[3] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1a\[3\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1a\[3\]/zero. +_60caf71597550000_p_Instance +gpio_control_in_1a[4] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1a\[4\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1a\[4\]/zero. +_a099f91597550000_p_Instance +gpio_control_in_1a[5] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_1a\[5\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_1a\[5\]/zero. +_300dfc1597550000_p_Instance +gpio_control_in_2[0] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[0\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[0\]/zero. +_20f7fe1597550000_p_Instance +gpio_control_in_2[10] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[10\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[10\]/zero. +_609c011697550000_p_Instance +gpio_control_in_2[11] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[11\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[11\]/zero. +_c024041697550000_p_Instance +gpio_control_in_2[12] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[12\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[12\]/zero. +_d0c6061697550000_p_Instance +gpio_control_in_2[13] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[13\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[13\]/zero. +_e068091697550000_p_Instance +gpio_control_in_2[14] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[14\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[14\]/zero. +_000b0c1697550000_p_Instance +gpio_control_in_2[15] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[15\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[15\]/zero. +_c07e0e1697550000_p_Instance +gpio_control_in_2[1] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[1\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[1\]/zero. +_d04b111697550000_p_Instance +gpio_control_in_2[2] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[2\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[2\]/zero. +_d0ed131697550000_p_Instance +gpio_control_in_2[3] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[3\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[3\]/zero. +_d08f161697550000_p_Instance +gpio_control_in_2[4] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[4\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[4\]/zero. +_d031191697550000_p_Instance +gpio_control_in_2[5] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[5\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[5\]/zero. +_d0d31b1697550000_p_Instance +gpio_control_in_2[6] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[6\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[6\]/zero. +_d0751e1697550000_p_Instance +gpio_control_in_2[7] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[7\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[7\]/zero. +_d017211697550000_p_Instance +gpio_control_in_2[8] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[8\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[8\]/zero. +_d0b9231697550000_p_Instance +gpio_control_in_2[9] +Warning: ./spef/gpio_control_block.spef line 2161, zero not connected to net gpio_control_in_2\[9\]/zero. +Warning: ./spef/gpio_control_block.spef line 2176, zero not connected to net gpio_control_in_2\[9\]/zero. +_c096431197550000_p_Instance +gpio_defaults_block_0 +Warning: ./spef/gpio_defaults_block_1803.spef line 114, gpio_defaults[0] not connected to net gpio_defaults_block_0/gpio_defaults_low\[0\]. +Warning: ./spef/gpio_defaults_block_1803.spef line 118, gpio_defaults[0] not connected to net gpio_defaults_block_0/gpio_defaults_low\[0\]. +Warning: ./spef/gpio_defaults_block_1803.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_0/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block_1803.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_0/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block_1803.spef line 139, gpio_defaults[11] not connected to net gpio_defaults_block_0/gpio_defaults_low\[11\]. +Warning: ./spef/gpio_defaults_block_1803.spef line 144, gpio_defaults[11] not connected to net gpio_defaults_block_0/gpio_defaults_low\[11\]. +Warning: ./spef/gpio_defaults_block_1803.spef line 152, gpio_defaults[12] not connected to net gpio_defaults_block_0/gpio_defaults_low\[12\]. +Warning: ./spef/gpio_defaults_block_1803.spef line 156, gpio_defaults[12] not connected to net gpio_defaults_block_0/gpio_defaults_low\[12\]. +_a0b0b61597550000_p_Instance +gpio_defaults_block_1 +Warning: ./spef/gpio_defaults_block_1803.spef line 114, gpio_defaults[0] not connected to net gpio_defaults_block_1/gpio_defaults_low\[0\]. +Warning: ./spef/gpio_defaults_block_1803.spef line 118, gpio_defaults[0] not connected to net gpio_defaults_block_1/gpio_defaults_low\[0\]. +Warning: ./spef/gpio_defaults_block_1803.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_1/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block_1803.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_1/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block_1803.spef line 139, gpio_defaults[11] not connected to net gpio_defaults_block_1/gpio_defaults_low\[11\]. +Warning: ./spef/gpio_defaults_block_1803.spef line 144, gpio_defaults[11] not connected to net gpio_defaults_block_1/gpio_defaults_low\[11\]. +Warning: ./spef/gpio_defaults_block_1803.spef line 152, gpio_defaults[12] not connected to net gpio_defaults_block_1/gpio_defaults_low\[12\]. +Warning: ./spef/gpio_defaults_block_1803.spef line 156, gpio_defaults[12] not connected to net gpio_defaults_block_1/gpio_defaults_low\[12\]. +_9097bc1597550000_p_Instance +gpio_defaults_block_2 +Warning: ./spef/gpio_defaults_block_0403.spef line 114, gpio_defaults[0] not connected to net gpio_defaults_block_2/gpio_defaults_low\[0\]. +Warning: ./spef/gpio_defaults_block_0403.spef line 118, gpio_defaults[0] not connected to net gpio_defaults_block_2/gpio_defaults_low\[0\]. +_60f9bc1597550000_p_Instance +gpio_defaults_block_3 +Warning: ./spef/gpio_defaults_block_0403.spef line 114, gpio_defaults[0] not connected to net gpio_defaults_block_3/gpio_defaults_low\[0\]. +Warning: ./spef/gpio_defaults_block_0403.spef line 118, gpio_defaults[0] not connected to net gpio_defaults_block_3/gpio_defaults_low\[0\]. +_305bbd1597550000_p_Instance +gpio_defaults_block_4 +Warning: ./spef/gpio_defaults_block_0403.spef line 114, gpio_defaults[0] not connected to net gpio_defaults_block_4/gpio_defaults_low\[0\]. +Warning: ./spef/gpio_defaults_block_0403.spef line 118, gpio_defaults[0] not connected to net gpio_defaults_block_4/gpio_defaults_low\[0\]. +_3078c31597550000_p_Instance +gpio_defaults_block_5 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_5/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_5/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_5/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_5/gpio_defaults_high\[1\]. +_00dac31597550000_p_Instance +gpio_defaults_block_6 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_6/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_6/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_6/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_6/gpio_defaults_high\[1\]. +_d03bc41597550000_p_Instance +gpio_defaults_block_7 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_7/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_7/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_7/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_7/gpio_defaults_high\[1\]. +_a09dc41597550000_p_Instance +gpio_defaults_block_8 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_8/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_8/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_8/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_8/gpio_defaults_high\[1\]. +_70ffc41597550000_p_Instance +gpio_defaults_block_9 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_9/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_9/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_9/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_9/gpio_defaults_high\[1\]. +_b0a4b71597550000_p_Instance +gpio_defaults_block_10 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_10/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_10/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_10/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_10/gpio_defaults_high\[1\]. +_9005b81597550000_p_Instance +gpio_defaults_block_11 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_11/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_11/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_11/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_11/gpio_defaults_high\[1\]. +_7066b81597550000_p_Instance +gpio_defaults_block_12 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_12/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_12/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_12/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_12/gpio_defaults_high\[1\]. +_50c7b81597550000_p_Instance +gpio_defaults_block_13 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_13/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_13/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_13/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_13/gpio_defaults_high\[1\]. +_3028b91597550000_p_Instance +gpio_defaults_block_14 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_14/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_14/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_14/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_14/gpio_defaults_high\[1\]. +_1089b91597550000_p_Instance +gpio_defaults_block_15 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_15/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_15/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_15/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_15/gpio_defaults_high\[1\]. +_e0eab91597550000_p_Instance +gpio_defaults_block_16 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_16/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_16/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_16/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_16/gpio_defaults_high\[1\]. +_b04cba1597550000_p_Instance +gpio_defaults_block_17 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_17/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_17/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_17/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_17/gpio_defaults_high\[1\]. +_80aeba1597550000_p_Instance +gpio_defaults_block_18 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_18/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_18/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_18/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_18/gpio_defaults_high\[1\]. +_5010bb1597550000_p_Instance +gpio_defaults_block_19 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_19/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_19/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_19/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_19/gpio_defaults_high\[1\]. +_2072bb1597550000_p_Instance +gpio_defaults_block_20 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_20/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_20/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_20/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_20/gpio_defaults_high\[1\]. +_f0d3bb1597550000_p_Instance +gpio_defaults_block_21 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_21/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_21/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_21/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_21/gpio_defaults_high\[1\]. +_c035bc1597550000_p_Instance +gpio_defaults_block_22 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_22/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_22/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_22/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_22/gpio_defaults_high\[1\]. +_00bdbd1597550000_p_Instance +gpio_defaults_block_23 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_23/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_23/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_23/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_23/gpio_defaults_high\[1\]. +_d01ebe1597550000_p_Instance +gpio_defaults_block_24 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_24/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_24/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_24/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_24/gpio_defaults_high\[1\]. +_a080be1597550000_p_Instance +gpio_defaults_block_25 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_25/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_25/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_25/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_25/gpio_defaults_high\[1\]. +_70e2be1597550000_p_Instance +gpio_defaults_block_26 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_26/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_26/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_26/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_26/gpio_defaults_high\[1\]. +_4044bf1597550000_p_Instance +gpio_defaults_block_27 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_27/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_27/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_27/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_27/gpio_defaults_high\[1\]. +_10a6bf1597550000_p_Instance +gpio_defaults_block_28 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_28/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_28/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_28/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_28/gpio_defaults_high\[1\]. +_e007c01597550000_p_Instance +gpio_defaults_block_29 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_29/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_29/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_29/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_29/gpio_defaults_high\[1\]. +_b069c01597550000_p_Instance +gpio_defaults_block_30 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_30/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_30/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_30/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_30/gpio_defaults_high\[1\]. +_80cbc01597550000_p_Instance +gpio_defaults_block_31 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_31/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_31/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_31/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_31/gpio_defaults_high\[1\]. +_502dc11597550000_p_Instance +gpio_defaults_block_32 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_32/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_32/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_32/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_32/gpio_defaults_high\[1\]. +_208fc11597550000_p_Instance +gpio_defaults_block_33 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_33/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_33/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_33/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_33/gpio_defaults_high\[1\]. +_f0f0c11597550000_p_Instance +gpio_defaults_block_34 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_34/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_34/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_34/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_34/gpio_defaults_high\[1\]. +_c052c21597550000_p_Instance +gpio_defaults_block_35 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_35/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_35/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_35/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_35/gpio_defaults_high\[1\]. +_90b4c21597550000_p_Instance +gpio_defaults_block_36 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_36/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_36/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_36/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_36/gpio_defaults_high\[1\]. +_6016c31597550000_p_Instance +gpio_defaults_block_37 +Warning: ./spef/gpio_defaults_block.spef line 126, gpio_defaults[10] not connected to net gpio_defaults_block_37/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 131, gpio_defaults[10] not connected to net gpio_defaults_block_37/gpio_defaults_high\[10\]. +Warning: ./spef/gpio_defaults_block.spef line 164, gpio_defaults[1] not connected to net gpio_defaults_block_37/gpio_defaults_high\[1\]. +Warning: ./spef/gpio_defaults_block.spef line 169, gpio_defaults[1] not connected to net gpio_defaults_block_37/gpio_defaults_high\[1\]. +Warning: ./spef/caravel.spef line 4115, *2810 not connected to net gpio_clock_1\[0\]. +Warning: ./spef/caravel.spef line 4116, *2803 not connected to net gpio_clock_1\[0\]. +Warning: ./spef/caravel.spef line 4187, *2803 not connected to net gpio_clock_1\[0\]. +Warning: ./spef/caravel.spef line 4194, *2810 not connected to net gpio_clock_1\[0\]. +Warning: ./spef/caravel.spef line 4218, *2805 not connected to net gpio_clock_1\[10\]. +Warning: ./spef/caravel.spef line 4219, *2804 not connected to net gpio_clock_1\[10\]. +Warning: ./spef/caravel.spef line 4286, *2804 not connected to net gpio_clock_1\[10\]. +Warning: ./spef/caravel.spef line 4298, *2805 not connected to net gpio_clock_1\[10\]. +Warning: ./spef/caravel.spef line 4323, *2806 not connected to net gpio_clock_1\[11\]. +Warning: ./spef/caravel.spef line 4324, *2805 not connected to net gpio_clock_1\[11\]. +Warning: ./spef/caravel.spef line 4415, *2805 not connected to net gpio_clock_1\[11\]. +Warning: ./spef/caravel.spef line 4423, *2806 not connected to net gpio_clock_1\[11\]. +Warning: ./spef/caravel.spef line 4443, *2807 not connected to net gpio_clock_1\[12\]. +Warning: ./spef/caravel.spef line 4446, *2806 not connected to net gpio_clock_1\[12\]. +Warning: ./spef/caravel.spef line 4502, *2806 not connected to net gpio_clock_1\[12\]. +Warning: ./spef/caravel.spef line 4519, *2807 not connected to net gpio_clock_1\[12\]. +Warning: ./spef/caravel.spef line 4531, *2808 not connected to net gpio_clock_1\[13\]. +Warning: ./spef/caravel.spef line 4532, *2807 not connected to net gpio_clock_1\[13\]. +Warning: ./spef/caravel.spef line 4594, *2807 not connected to net gpio_clock_1\[13\]. +Warning: ./spef/caravel.spef line 4603, *2808 not connected to net gpio_clock_1\[13\]. +Warning: ./spef/caravel.spef line 4629, *2809 not connected to net gpio_clock_1\[14\]. +Warning: ./spef/caravel.spef line 4630, *2808 not connected to net gpio_clock_1\[14\]. +Warning: ./spef/caravel.spef line 4685, *2808 not connected to net gpio_clock_1\[14\]. +Warning: ./spef/caravel.spef line 4688, *2809 not connected to net gpio_clock_1\[14\]. +Warning: ./spef/caravel.spef line 4710, *2783 not connected to net gpio_clock_1\[15\]. +Warning: ./spef/caravel.spef line 4711, *2809 not connected to net gpio_clock_1\[15\]. +Warning: ./spef/caravel.spef line 4904, *2809 not connected to net gpio_clock_1\[15\]. +Warning: ./spef/caravel.spef line 4906, *2783 not connected to net gpio_clock_1\[15\]. +Warning: ./spef/caravel.spef line 4935, *2784 not connected to net gpio_clock_1\[16\]. +Warning: ./spef/caravel.spef line 4936, *2783 not connected to net gpio_clock_1\[16\]. +Warning: ./spef/caravel.spef line 5008, *2783 not connected to net gpio_clock_1\[16\]. +Warning: ./spef/caravel.spef line 5009, *2784 not connected to net gpio_clock_1\[16\]. +Warning: ./spef/caravel.spef line 5022, *2784 not connected to net gpio_clock_1\[17\]. +Warning: ./spef/caravel.spef line 5023, *2785 not connected to net gpio_clock_1\[17\]. +Warning: ./spef/caravel.spef line 5121, *2785 not connected to net gpio_clock_1\[17\]. +Warning: ./spef/caravel.spef line 5127, *2784 not connected to net gpio_clock_1\[17\]. +Warning: ./spef/caravel.spef line 5137, *2785 not connected to net gpio_clock_1\[18\]. +Warning: ./spef/caravel.spef line 5190, *2785 not connected to net gpio_clock_1\[18\]. +Warning: ./spef/caravel.spef line 5202, *2811 not connected to net gpio_clock_1\[1\]. +Warning: ./spef/caravel.spef line 5203, *2810 not connected to net gpio_clock_1\[1\]. +Warning: ./spef/caravel.spef line 5289, *2810 not connected to net gpio_clock_1\[1\]. +Warning: ./spef/caravel.spef line 5297, *2811 not connected to net gpio_clock_1\[1\]. +Warning: ./spef/caravel.spef line 5321, *2812 not connected to net gpio_clock_1\[2\]. +Warning: ./spef/caravel.spef line 5324, *2811 not connected to net gpio_clock_1\[2\]. +Warning: ./spef/caravel.spef line 5411, *2811 not connected to net gpio_clock_1\[2\]. +Warning: ./spef/caravel.spef line 5434, *2812 not connected to net gpio_clock_1\[2\]. +Warning: ./spef/caravel.spef line 5446, *2813 not connected to net gpio_clock_1\[3\]. +Warning: ./spef/caravel.spef line 5447, *2812 not connected to net gpio_clock_1\[3\]. +Warning: ./spef/caravel.spef line 5504, *2812 not connected to net gpio_clock_1\[3\]. +Warning: ./spef/caravel.spef line 5511, *2813 not connected to net gpio_clock_1\[3\]. +Warning: ./spef/caravel.spef line 5535, *2814 not connected to net gpio_clock_1\[4\]. +Warning: ./spef/caravel.spef line 5536, *2813 not connected to net gpio_clock_1\[4\]. +Warning: ./spef/caravel.spef line 5599, *2813 not connected to net gpio_clock_1\[4\]. +Warning: ./spef/caravel.spef line 5611, *2814 not connected to net gpio_clock_1\[4\]. +Warning: ./spef/caravel.spef line 5630, *2815 not connected to net gpio_clock_1\[5\]. +Warning: ./spef/caravel.spef line 5631, *2814 not connected to net gpio_clock_1\[5\]. +Warning: ./spef/caravel.spef line 5713, *2814 not connected to net gpio_clock_1\[5\]. +Warning: ./spef/caravel.spef line 5719, *2815 not connected to net gpio_clock_1\[5\]. +Warning: ./spef/caravel.spef line 5737, *2814 not connected to net gpio_clock_1\[5\]. +Warning: ./spef/caravel.spef line 5749, *2816 not connected to net gpio_clock_1\[6\]. +Warning: ./spef/caravel.spef line 5750, *2815 not connected to net gpio_clock_1\[6\]. +Warning: ./spef/caravel.spef line 5835, *2815 not connected to net gpio_clock_1\[6\]. +Warning: ./spef/caravel.spef line 5845, *2816 not connected to net gpio_clock_1\[6\]. +Warning: ./spef/caravel.spef line 5859, *2815 not connected to net gpio_clock_1\[6\]. +Warning: ./spef/caravel.spef line 5871, *2817 not connected to net gpio_clock_1\[7\]. +Warning: ./spef/caravel.spef line 5872, *2816 not connected to net gpio_clock_1\[7\]. +Warning: ./spef/caravel.spef line 5970, *2816 not connected to net gpio_clock_1\[7\]. +Warning: ./spef/caravel.spef line 5977, *2817 not connected to net gpio_clock_1\[7\]. +Warning: ./spef/caravel.spef line 6002, *2818 not connected to net gpio_clock_1\[8\]. +Warning: ./spef/caravel.spef line 6003, *2817 not connected to net gpio_clock_1\[8\]. +Warning: ./spef/caravel.spef line 6077, *2817 not connected to net gpio_clock_1\[8\]. +Warning: ./spef/caravel.spef line 6082, *2818 not connected to net gpio_clock_1\[8\]. +Warning: ./spef/caravel.spef line 6107, *2804 not connected to net gpio_clock_1\[9\]. +Warning: ./spef/caravel.spef line 6108, *2818 not connected to net gpio_clock_1\[9\]. +Warning: ./spef/caravel.spef line 6166, *2818 not connected to net gpio_clock_1\[9\]. +Warning: ./spef/caravel.spef line 6168, *2804 not connected to net gpio_clock_1\[9\]. +Warning: ./spef/caravel.spef line 6189, *2803 not connected to net gpio_clock_1_shifted\[0\]. +Warning: ./spef/caravel.spef line 6233, *2803 not connected to net gpio_clock_1_shifted\[0\]. +Warning: ./spef/caravel.spef line 6239, instance gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 6243, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 6248, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 6253, instance gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 6257, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 6265, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 6270, instance gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 6274, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 6284, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 6289, instance gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 6293, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 6302, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 6307, instance gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 6311, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 6318, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 6323, instance gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6327, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6335, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6340, instance gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6344, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6351, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6356, instance gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6360, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6369, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6374, instance gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6378, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6385, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6390, instance gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6394, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6400, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6405, instance gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6409, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6414, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6419, instance gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 6423, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 6432, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 6437, instance gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6441, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6445, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6450, instance gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6454, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6460, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6465, instance gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6469, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6476, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6481, instance gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6485, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6492, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6497, instance gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6501, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6508, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6513, instance gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6517, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6525, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6530, instance gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6534, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6539, net gpio_8_defaults not found. +Warning: ./spef/caravel.spef line 6544, instance gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6548, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6554, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6561, instance gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6565, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6573, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6578, instance gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6582, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6591, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6596, instance gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 6600, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 6608, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 6613, instance gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6617, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6625, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6630, instance gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6634, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6641, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6646, instance gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6650, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6655, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6660, instance gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6664, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6668, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6673, instance gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6677, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6683, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6688, instance gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6692, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6699, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6704, instance gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6708, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6715, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6720, instance gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6724, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6730, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6735, instance gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6739, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6746, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6751, instance gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6755, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6761, net gpio_9_defaults not found. +Warning: ./spef/caravel.spef line 6766, instance gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 6770, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 6777, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 6782, instance gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6786, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6792, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6797, instance gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6801, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6809, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6814, instance gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6818, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6826, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6831, instance gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6835, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6843, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6848, instance gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6852, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6858, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6863, instance gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6867, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6873, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6878, instance gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6882, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6886, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6891, instance gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6895, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6901, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6906, instance gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6910, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6916, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6921, instance gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6925, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6931, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6936, instance gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 6940, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 6946, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 6953, instance gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6957, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6963, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6968, instance gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6972, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6978, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6983, instance gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6987, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6993, net gpio_10_defaults not found. +Warning: ./spef/caravel.spef line 6998, instance gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7002, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7007, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7012, instance gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7016, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7022, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7027, instance gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7031, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7039, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7044, instance gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7048, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7055, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7060, instance gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7064, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7071, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7076, instance gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7080, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7085, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7090, instance gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7094, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7098, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7103, instance gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7107, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7114, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7119, instance gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7123, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7129, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7134, instance gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7138, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7144, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7149, instance gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7153, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7159, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7164, instance gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7168, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7174, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7179, instance gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7183, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7191, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7196, instance gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7200, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7205, net gpio_11_defaults not found. +Warning: ./spef/caravel.spef line 7211, instance gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7215, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7221, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7226, instance gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7230, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7239, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7244, instance gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7248, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7256, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7261, instance gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7265, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7273, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7278, instance gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7282, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7288, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7293, instance gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7297, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7304, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7309, instance gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7313, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7320, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7325, instance gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7329, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7333, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7338, instance gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7342, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7348, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7353, instance gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7357, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7364, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7369, instance gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7373, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7381, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7386, instance gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7390, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7397, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7402, instance gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7406, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7413, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7418, instance gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7422, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7428, net gpio_12_defaults not found. +Warning: ./spef/caravel.spef line 7433, instance gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7437, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7443, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7448, instance gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7452, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7460, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7465, instance gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7469, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7477, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7482, instance gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7486, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7494, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7499, instance gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7503, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7511, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7516, instance gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7520, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7526, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7531, instance gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7535, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7541, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7546, instance gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7550, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7554, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7559, instance gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7563, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7569, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7574, instance gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7578, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7584, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7589, instance gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7593, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7599, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7604, instance gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7608, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7614, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7619, instance gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7623, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7629, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7634, instance gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7638, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7644, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7649, instance gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7653, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7659, net gpio_13_defaults not found. +Warning: ./spef/caravel.spef line 7664, instance gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7668, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7674, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7681, instance gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7685, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7692, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7697, instance gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7701, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7710, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7715, instance gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7719, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7726, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7731, instance gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7735, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7742, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7747, instance gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7751, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7756, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7761, instance gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7765, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7769, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7774, instance gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7778, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7784, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7789, instance gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7793, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7799, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7804, instance gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7808, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7815, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7820, instance gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7824, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7830, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7835, instance gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7839, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7846, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7851, instance gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7855, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7861, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7866, instance gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7870, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7877, net gpio_14_defaults not found. +Warning: ./spef/caravel.spef line 7882, instance gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7886, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7889, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7894, instance gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7898, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7902, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7907, instance gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7911, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7915, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7920, instance gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7924, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7928, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7933, instance gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7937, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7941, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7946, instance gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7950, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7954, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 7959, instance gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 7963, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 7969, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 7974, instance gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7978, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7982, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7987, instance gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7991, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 7995, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8000, instance gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8004, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8008, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8013, instance gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8017, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8021, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8026, instance gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8030, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8034, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8039, instance gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8043, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8047, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8052, instance gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8056, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8060, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8065, instance gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8069, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8072, net gpio_15_defaults not found. +Warning: ./spef/caravel.spef line 8077, instance gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8081, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8084, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8089, instance gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8093, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8097, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8102, instance gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8106, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8112, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8117, instance gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8121, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8125, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8130, instance gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8134, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8138, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8143, instance gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8147, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8151, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8156, instance gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8160, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8164, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8169, instance gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8173, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8177, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8182, instance gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8186, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8190, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8195, instance gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8199, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8203, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8208, instance gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8212, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8216, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8221, instance gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8225, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8229, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8234, instance gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8238, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8242, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8247, instance gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8251, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8257, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8262, instance gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8266, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8269, net gpio_16_defaults not found. +Warning: ./spef/caravel.spef line 8274, instance gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8278, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8281, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8286, instance gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8290, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8294, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8299, instance gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8303, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8307, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8312, instance gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8316, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8320, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8325, instance gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8329, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8333, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8338, instance gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8342, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8346, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8351, instance gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8355, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8359, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8364, instance gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8368, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8372, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8377, instance gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8381, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8385, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8390, instance gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8394, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8400, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8405, instance gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8409, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8413, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8418, instance gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8422, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8426, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8431, instance gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8435, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8439, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8444, instance gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8448, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8451, net gpio_17_defaults not found. +Warning: ./spef/caravel.spef line 8456, instance gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8460, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8463, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8468, instance gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8472, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8476, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8481, instance gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8485, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8489, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8494, instance gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8498, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8502, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8507, instance gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8511, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8515, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8520, instance gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8524, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8528, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8533, instance gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8537, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8544, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8549, instance gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8553, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8557, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8562, instance gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8566, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8570, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8575, instance gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8579, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8583, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8588, instance gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8592, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8596, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8601, instance gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8605, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8609, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8614, instance gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8618, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8622, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8627, instance gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8631, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8634, net gpio_18_defaults not found. +Warning: ./spef/caravel.spef line 8639, instance gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8643, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8646, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8651, instance gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8655, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8659, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8664, instance gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8668, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8672, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8677, instance gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8681, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8685, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8690, instance gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8694, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8698, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8703, instance gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8707, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8711, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8716, instance gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8720, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8724, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8729, instance gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8733, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8737, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8742, instance gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8746, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8750, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8755, instance gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8759, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8763, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8768, instance gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8772, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8776, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8781, instance gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8785, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8789, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8794, instance gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8798, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8802, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8807, instance gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8811, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8814, net gpio_19_defaults not found. +Warning: ./spef/caravel.spef line 8819, instance gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8823, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8829, net gpio_01_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 8834, instance gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8838, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8841, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8846, instance gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8850, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8854, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8859, instance gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8863, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8867, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8872, instance gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8876, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8880, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8885, instance gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8889, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8893, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8898, instance gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8902, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8906, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8911, instance gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8915, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8919, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8924, instance gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8928, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8932, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8937, instance gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8941, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8945, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8950, instance gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8954, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8958, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8963, instance gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 8967, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 8972, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 8977, instance gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8981, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8985, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8990, instance gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8994, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 8998, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 9003, instance gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 9007, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 9010, net gpio_20_defaults not found. +Warning: ./spef/caravel.spef line 9015, instance gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9019, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9022, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9027, instance gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9031, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9035, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9040, instance gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9044, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9048, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9053, instance gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9057, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9061, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9066, instance gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9070, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9074, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9079, instance gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9083, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9087, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9092, instance gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9096, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9100, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9105, instance gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9109, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9117, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9122, instance gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9126, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9130, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9135, instance gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9139, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9143, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9148, instance gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9152, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9156, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9161, instance gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9165, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9169, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9174, instance gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9178, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9182, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9187, instance gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9191, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9194, net gpio_21_defaults not found. +Warning: ./spef/caravel.spef line 9199, instance gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9203, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9210, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9215, instance gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9219, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9222, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9227, instance gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9231, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9239, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9244, instance gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9248, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9255, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9260, instance gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9264, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9268, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9273, instance gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9277, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9281, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9286, instance gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9290, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9294, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9299, instance gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9303, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9307, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9312, instance gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9316, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9320, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9325, instance gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9329, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9333, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9338, instance gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9342, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9346, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9351, instance gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9355, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9359, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9364, instance gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9368, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9372, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9377, instance gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9381, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9385, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9390, instance gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9394, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9400, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9405, instance gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9409, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9413, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9418, instance gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9422, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9425, net gpio_23_defaults not found. +Warning: ./spef/caravel.spef line 9430, instance gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9434, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9437, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9442, instance gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9446, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9450, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9455, instance gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9459, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9463, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9468, instance gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9472, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9476, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9481, instance gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9485, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9489, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9494, instance gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9498, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9502, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9507, instance gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9511, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9515, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9520, instance gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9524, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9528, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9533, instance gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9537, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9543, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9548, instance gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9552, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9556, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9561, instance gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9565, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9569, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9574, instance gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9578, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9582, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9587, instance gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9591, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9595, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9600, instance gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9604, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9607, net gpio_24_defaults not found. +Warning: ./spef/caravel.spef line 9612, instance gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9616, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9620, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9625, instance gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9629, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9633, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9638, instance gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9642, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9646, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9651, instance gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9655, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9659, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9664, instance gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9668, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9672, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9677, instance gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9681, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9685, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9690, instance gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9694, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9698, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9703, instance gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9707, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9711, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9716, instance gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9720, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9724, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9729, instance gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9733, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9737, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9742, instance gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9746, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9750, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9755, instance gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9759, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9763, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9768, instance gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9772, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9776, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9781, instance gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9785, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9788, net gpio_25_defaults not found. +Warning: ./spef/caravel.spef line 9793, instance gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9797, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9800, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9805, instance gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9809, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9813, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9818, instance gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9822, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9828, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9833, instance gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9837, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9841, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9846, instance gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9850, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9854, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9859, instance gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9863, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9867, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9872, instance gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9876, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9880, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9885, instance gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9889, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9893, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9898, instance gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9902, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9906, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9911, instance gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9915, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9919, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9924, instance gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9928, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9932, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9937, instance gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9941, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9945, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9950, instance gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9954, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9958, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9963, instance gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9967, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9973, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 9978, instance gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9982, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9985, net gpio_26_defaults not found. +Warning: ./spef/caravel.spef line 9990, instance gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 9994, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 9997, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10002, instance gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10006, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10010, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10015, instance gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10019, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10023, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10028, instance gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10032, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10036, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10041, instance gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10045, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10049, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10054, instance gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10058, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10062, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10067, instance gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10071, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10075, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10080, instance gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10084, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10088, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10093, instance gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10097, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10101, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10106, instance gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 10110, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 10117, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 10122, instance gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10126, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10130, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10135, instance gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10139, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10143, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10148, instance gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10152, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10156, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10161, instance gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10165, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10168, net gpio_27_defaults not found. +Warning: ./spef/caravel.spef line 10173, instance gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10177, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10180, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10185, instance gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10189, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10193, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10198, instance gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10202, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10206, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10211, instance gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10215, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10219, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10224, instance gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10228, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10232, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10237, instance gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10241, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10245, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10250, instance gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 10254, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 10260, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 10265, instance gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10269, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10273, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10278, instance gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10282, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10286, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10291, instance gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10295, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10299, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10304, instance gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10308, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10312, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10317, instance gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10321, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10325, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10330, instance gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10334, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10338, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10343, instance gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10347, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10350, net gpio_28_defaults not found. +Warning: ./spef/caravel.spef line 10355, instance gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10359, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10363, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10368, instance gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10372, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10376, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10381, instance gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10385, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10389, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10394, instance gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 10398, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 10404, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 10409, instance gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10413, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10417, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10422, instance gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10426, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10430, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10435, instance gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10439, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10443, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10448, instance gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10452, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10456, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10461, instance gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10465, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10469, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10474, instance gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10478, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10482, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10487, instance gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10491, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10495, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10500, instance gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10504, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10508, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10513, instance gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10517, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10521, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10526, instance gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10530, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10533, net gpio_29_defaults not found. +Warning: ./spef/caravel.spef line 10538, instance gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 10542, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 10547, net gpio_234_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 10552, instance gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10556, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10560, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10565, instance gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10569, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10573, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10578, instance gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10582, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10586, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10591, instance gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10595, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10599, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10604, instance gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10608, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10612, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10617, instance gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10621, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10625, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10630, instance gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10634, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10638, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10643, instance gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10647, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10651, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10656, instance gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10660, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10664, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10669, instance gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10673, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10677, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10682, instance gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 10686, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 10690, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 10695, instance gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 10699, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 10707, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 10712, instance gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10716, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10720, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10725, instance gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10729, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10733, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10738, instance gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10742, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10745, net gpio_30_defaults not found. +Warning: ./spef/caravel.spef line 10750, instance gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10754, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10757, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10762, instance gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10766, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10770, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10775, instance gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10779, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10783, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10788, instance gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10792, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10796, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10801, instance gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10805, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10809, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10814, instance gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10818, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10822, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10827, instance gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10831, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10835, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10840, instance gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 10844, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 10851, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 10856, instance gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10860, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10864, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10869, instance gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10873, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10877, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10882, instance gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10886, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10890, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10895, instance gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10899, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10903, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10908, instance gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10912, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10916, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10921, instance gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10925, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10928, net gpio_31_defaults not found. +Warning: ./spef/caravel.spef line 10933, instance gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 10937, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 10940, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 10945, instance gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 10949, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 10953, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 10958, instance gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 10962, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 10966, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 10971, instance gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 10975, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 10979, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 10984, instance gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 10988, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 10996, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11001, instance gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11005, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11009, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11014, instance gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11018, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11022, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11027, instance gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11031, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11035, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11040, instance gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11044, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11048, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11053, instance gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11057, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11061, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11066, instance gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11070, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11074, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11079, instance gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11083, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11087, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11092, instance gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11096, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11100, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11105, instance gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11109, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11112, net gpio_32_defaults not found. +Warning: ./spef/caravel.spef line 11117, instance gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11121, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11124, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11129, instance gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11133, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11141, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11146, instance gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11150, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11154, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11159, instance gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11163, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11167, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11172, instance gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11176, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11180, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11185, instance gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11189, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11193, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11198, instance gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11202, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11206, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11211, instance gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11215, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11219, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11224, instance gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11228, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11232, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11237, instance gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11241, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11245, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11250, instance gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11254, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11258, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11263, instance gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11267, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11271, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11276, instance gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11280, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11286, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11291, instance gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11295, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11299, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11304, instance gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11308, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11311, net gpio_33_defaults not found. +Warning: ./spef/caravel.spef line 11316, instance gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11320, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11323, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11328, instance gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11332, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11336, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11341, instance gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11345, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11349, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11354, instance gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11358, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11362, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11367, instance gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11371, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11375, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11380, instance gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11384, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11388, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11393, instance gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11397, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11401, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11406, instance gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11410, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11414, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11419, instance gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11423, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11428, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11433, instance gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11437, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11441, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11446, instance gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11450, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11454, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11459, instance gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11463, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11467, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11472, instance gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11476, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11480, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11485, instance gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11489, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11492, net gpio_34_defaults not found. +Warning: ./spef/caravel.spef line 11497, instance gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11501, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11504, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11509, instance gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11513, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11517, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11522, instance gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11526, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11530, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11535, instance gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11539, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11543, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11548, instance gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11552, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11556, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11561, instance gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11565, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11569, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11574, instance gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11578, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11582, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11587, instance gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11591, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11595, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11600, instance gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11604, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11608, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11613, instance gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11617, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11621, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11626, instance gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11630, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11634, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11639, instance gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11643, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11647, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11652, instance gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11656, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11660, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11665, instance gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11669, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11672, net gpio_35_defaults not found. +Warning: ./spef/caravel.spef line 11677, instance gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11681, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11685, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11690, instance gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11694, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11696, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11701, instance gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11705, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11711, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11716, instance gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11720, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11722, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11727, instance gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11731, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11733, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11738, instance gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11742, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11744, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11749, instance gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11753, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11755, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11760, instance gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11764, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11766, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11771, instance gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11775, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11777, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11782, instance gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11786, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11788, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11793, instance gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11797, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11799, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11804, instance gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11808, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11810, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11815, instance gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11819, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11821, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11826, instance gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11830, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11837, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11842, instance gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11846, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11848, net gpio_36_defaults not found. +Warning: ./spef/caravel.spef line 11853, instance gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11857, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11860, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11865, instance gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11869, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11873, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11878, instance gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11882, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11886, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11891, instance gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11895, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11899, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11904, instance gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11908, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11912, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11917, instance gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11921, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11925, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11930, instance gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11934, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11938, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11943, instance gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11947, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11951, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11956, instance gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11960, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11964, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11969, instance gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11973, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11979, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 11984, instance gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11988, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11992, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 11997, instance gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 12001, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 12005, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 12010, instance gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 12014, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 12018, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 12023, instance gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 12027, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 12030, net gpio_37_defaults not found. +Warning: ./spef/caravel.spef line 12035, instance gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 12039, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 12045, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 12050, instance gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12054, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12061, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12066, instance gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 12070, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 12077, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 12082, instance gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 12086, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 12090, net gpio_234_defaults\[1\] not found. +Warning: ./spef/caravel.spef line 12095, instance gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12099, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12104, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12109, instance gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12113, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12119, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12124, instance gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12128, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12133, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12138, instance gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12142, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12150, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12155, instance gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12159, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12165, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12170, instance gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12174, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12180, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12185, instance gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12189, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12193, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12198, instance gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12202, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12208, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12213, instance gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12217, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12223, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12228, instance gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12232, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12238, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12243, instance gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12247, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12253, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12258, instance gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12262, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12268, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12273, instance gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12277, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12283, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12288, instance gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12292, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12296, net gpio_234_defaults\[2\] not found. +Warning: ./spef/caravel.spef line 12301, instance gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12305, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12310, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12315, instance gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12319, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12326, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12331, instance gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12335, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12341, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12346, instance gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12350, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12358, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12363, instance gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12367, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12373, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12378, instance gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12382, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12386, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12391, instance gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12395, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12401, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12406, instance gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12410, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12414, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12419, instance gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12423, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12429, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12434, instance gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12438, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12444, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12449, instance gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12453, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12459, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12464, instance gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12468, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12475, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12480, instance gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12484, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12489, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12494, instance gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12498, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12504, net gpio_5_defaults not found. +Warning: ./spef/caravel.spef line 12509, instance gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12513, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12519, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12524, instance gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12528, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12536, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12541, instance gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12545, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12551, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12556, instance gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12560, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12568, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12573, instance gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12577, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12585, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12590, instance gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12594, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12600, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12605, instance gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12609, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12614, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12619, instance gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12623, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12627, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12632, instance gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12636, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12642, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12647, instance gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12651, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12658, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12663, instance gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12667, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12674, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12679, instance gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12683, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12689, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12694, instance gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12698, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12705, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12710, instance gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12714, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12720, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12725, instance gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12729, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12733, net gpio_6_defaults not found. +Warning: ./spef/caravel.spef line 12738, instance gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12742, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12749, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12754, instance gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12758, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12764, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12769, instance gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12773, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12781, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12786, instance gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12790, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12799, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12804, instance gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12808, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12816, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12821, instance gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12825, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12831, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12836, instance gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12840, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12844, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12849, instance gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12853, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12859, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12864, instance gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12868, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12874, net gpio_7_defaults not found. +Warning: ./spef/caravel.spef line 12879, instance gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12883, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12890, net gpio_01_defaults\[0\] not found. +Warning: ./spef/caravel.spef line 12953, *2810 not connected to net gpio_load_1\[0\]. +Warning: ./spef/caravel.spef line 12954, *2803 not connected to net gpio_load_1\[0\]. +Warning: ./spef/caravel.spef line 13023, *2803 not connected to net gpio_load_1\[0\]. +Warning: ./spef/caravel.spef line 13029, *2810 not connected to net gpio_load_1\[0\]. +Warning: ./spef/caravel.spef line 13051, *2805 not connected to net gpio_load_1\[10\]. +Warning: ./spef/caravel.spef line 13054, *2804 not connected to net gpio_load_1\[10\]. +Warning: ./spef/caravel.spef line 13171, *2804 not connected to net gpio_load_1\[10\]. +Warning: ./spef/caravel.spef line 13193, *2805 not connected to net gpio_load_1\[10\]. +Warning: ./spef/caravel.spef line 13205, *2806 not connected to net gpio_load_1\[11\]. +Warning: ./spef/caravel.spef line 13206, *2805 not connected to net gpio_load_1\[11\]. +Warning: ./spef/caravel.spef line 13337, *2805 not connected to net gpio_load_1\[11\]. +Warning: ./spef/caravel.spef line 13344, *2806 not connected to net gpio_load_1\[11\]. +Warning: ./spef/caravel.spef line 13366, *2807 not connected to net gpio_load_1\[12\]. +Warning: ./spef/caravel.spef line 13367, *2806 not connected to net gpio_load_1\[12\]. +Warning: ./spef/caravel.spef line 13471, *2806 not connected to net gpio_load_1\[12\]. +Warning: ./spef/caravel.spef line 13474, *2807 not connected to net gpio_load_1\[12\]. +Warning: ./spef/caravel.spef line 13497, *2808 not connected to net gpio_load_1\[13\]. +Warning: ./spef/caravel.spef line 13498, *2807 not connected to net gpio_load_1\[13\]. +Warning: ./spef/caravel.spef line 13569, *2807 not connected to net gpio_load_1\[13\]. +Warning: ./spef/caravel.spef line 13577, *2808 not connected to net gpio_load_1\[13\]. +Warning: ./spef/caravel.spef line 13594, *2807 not connected to net gpio_load_1\[13\]. +Warning: ./spef/caravel.spef line 13606, *2809 not connected to net gpio_load_1\[14\]. +Warning: ./spef/caravel.spef line 13607, *2808 not connected to net gpio_load_1\[14\]. +Warning: ./spef/caravel.spef line 13675, *2808 not connected to net gpio_load_1\[14\]. +Warning: ./spef/caravel.spef line 13678, *2809 not connected to net gpio_load_1\[14\]. +Warning: ./spef/caravel.spef line 13706, *2783 not connected to net gpio_load_1\[15\]. +Warning: ./spef/caravel.spef line 13707, *2809 not connected to net gpio_load_1\[15\]. +Warning: ./spef/caravel.spef line 13766, *2809 not connected to net gpio_load_1\[15\]. +Warning: ./spef/caravel.spef line 13768, *2783 not connected to net gpio_load_1\[15\]. +Warning: ./spef/caravel.spef line 13791, *2784 not connected to net gpio_load_1\[16\]. +Warning: ./spef/caravel.spef line 13792, *2783 not connected to net gpio_load_1\[16\]. +Warning: ./spef/caravel.spef line 13853, *2783 not connected to net gpio_load_1\[16\]. +Warning: ./spef/caravel.spef line 13854, *2784 not connected to net gpio_load_1\[16\]. +Warning: ./spef/caravel.spef line 13867, *2785 not connected to net gpio_load_1\[17\]. +Warning: ./spef/caravel.spef line 13868, *2784 not connected to net gpio_load_1\[17\]. +Warning: ./spef/caravel.spef line 13939, *2784 not connected to net gpio_load_1\[17\]. +Warning: ./spef/caravel.spef line 13940, *2785 not connected to net gpio_load_1\[17\]. +Warning: ./spef/caravel.spef line 13950, *2785 not connected to net gpio_load_1\[18\]. +Warning: ./spef/caravel.spef line 13989, *2785 not connected to net gpio_load_1\[18\]. +Warning: ./spef/caravel.spef line 14001, *2811 not connected to net gpio_load_1\[1\]. +Warning: ./spef/caravel.spef line 14002, *2810 not connected to net gpio_load_1\[1\]. +Warning: ./spef/caravel.spef line 14080, *2810 not connected to net gpio_load_1\[1\]. +Warning: ./spef/caravel.spef line 14088, *2811 not connected to net gpio_load_1\[1\]. +Warning: ./spef/caravel.spef line 14114, *2812 not connected to net gpio_load_1\[2\]. +Warning: ./spef/caravel.spef line 14117, *2811 not connected to net gpio_load_1\[2\]. +Warning: ./spef/caravel.spef line 14211, *2811 not connected to net gpio_load_1\[2\]. +Warning: ./spef/caravel.spef line 14234, *2812 not connected to net gpio_load_1\[2\]. +Warning: ./spef/caravel.spef line 14246, *2813 not connected to net gpio_load_1\[3\]. +Warning: ./spef/caravel.spef line 14247, *2812 not connected to net gpio_load_1\[3\]. +Warning: ./spef/caravel.spef line 14313, *2812 not connected to net gpio_load_1\[3\]. +Warning: ./spef/caravel.spef line 14319, *2813 not connected to net gpio_load_1\[3\]. +Warning: ./spef/caravel.spef line 14344, *2814 not connected to net gpio_load_1\[4\]. +Warning: ./spef/caravel.spef line 14345, *2813 not connected to net gpio_load_1\[4\]. +Warning: ./spef/caravel.spef line 14412, *2813 not connected to net gpio_load_1\[4\]. +Warning: ./spef/caravel.spef line 14422, *2814 not connected to net gpio_load_1\[4\]. +Warning: ./spef/caravel.spef line 14442, *2815 not connected to net gpio_load_1\[5\]. +Warning: ./spef/caravel.spef line 14443, *2814 not connected to net gpio_load_1\[5\]. +Warning: ./spef/caravel.spef line 14525, *2814 not connected to net gpio_load_1\[5\]. +Warning: ./spef/caravel.spef line 14527, *2815 not connected to net gpio_load_1\[5\]. +Warning: ./spef/caravel.spef line 14554, *2816 not connected to net gpio_load_1\[6\]. +Warning: ./spef/caravel.spef line 14555, *2815 not connected to net gpio_load_1\[6\]. +Warning: ./spef/caravel.spef line 14608, *2815 not connected to net gpio_load_1\[6\]. +Warning: ./spef/caravel.spef line 14609, *2816 not connected to net gpio_load_1\[6\]. +Warning: ./spef/caravel.spef line 14634, *2817 not connected to net gpio_load_1\[7\]. +Warning: ./spef/caravel.spef line 14635, *2816 not connected to net gpio_load_1\[7\]. +Warning: ./spef/caravel.spef line 14766, *2816 not connected to net gpio_load_1\[7\]. +Warning: ./spef/caravel.spef line 14769, *2817 not connected to net gpio_load_1\[7\]. +Warning: ./spef/caravel.spef line 14801, *2818 not connected to net gpio_load_1\[8\]. +Warning: ./spef/caravel.spef line 14802, *2817 not connected to net gpio_load_1\[8\]. +Warning: ./spef/caravel.spef line 14917, *2817 not connected to net gpio_load_1\[8\]. +Warning: ./spef/caravel.spef line 14919, *2818 not connected to net gpio_load_1\[8\]. +Warning: ./spef/caravel.spef line 14943, *2804 not connected to net gpio_load_1\[9\]. +Warning: ./spef/caravel.spef line 14946, *2818 not connected to net gpio_load_1\[9\]. +Warning: ./spef/caravel.spef line 15070, *2818 not connected to net gpio_load_1\[9\]. +Warning: ./spef/caravel.spef line 15092, *2804 not connected to net gpio_load_1\[9\]. +Warning: ./spef/caravel.spef line 15102, *2803 not connected to net gpio_load_1_shifted\[0\]. +Warning: ./spef/caravel.spef line 15151, *2803 not connected to net gpio_load_1_shifted\[0\]. +Warning: ./spef/caravel.spef line 15268, *2810 not connected to net gpio_resetn_1\[0\]. +Warning: ./spef/caravel.spef line 15271, *2803 not connected to net gpio_resetn_1\[0\]. +Warning: ./spef/caravel.spef line 15345, *2803 not connected to net gpio_resetn_1\[0\]. +Warning: ./spef/caravel.spef line 15366, *2810 not connected to net gpio_resetn_1\[0\]. +Warning: ./spef/caravel.spef line 15378, *2805 not connected to net gpio_resetn_1\[10\]. +Warning: ./spef/caravel.spef line 15379, *2804 not connected to net gpio_resetn_1\[10\]. +Warning: ./spef/caravel.spef line 15455, *2804 not connected to net gpio_resetn_1\[10\]. +Warning: ./spef/caravel.spef line 15465, *2805 not connected to net gpio_resetn_1\[10\]. +Warning: ./spef/caravel.spef line 15490, *2806 not connected to net gpio_resetn_1\[11\]. +Warning: ./spef/caravel.spef line 15491, *2805 not connected to net gpio_resetn_1\[11\]. +Warning: ./spef/caravel.spef line 15578, *2805 not connected to net gpio_resetn_1\[11\]. +Warning: ./spef/caravel.spef line 15589, *2806 not connected to net gpio_resetn_1\[11\]. +Warning: ./spef/caravel.spef line 15614, *2807 not connected to net gpio_resetn_1\[12\]. +Warning: ./spef/caravel.spef line 15615, *2806 not connected to net gpio_resetn_1\[12\]. +Warning: ./spef/caravel.spef line 15679, *2806 not connected to net gpio_resetn_1\[12\]. +Warning: ./spef/caravel.spef line 15682, *2807 not connected to net gpio_resetn_1\[12\]. +Warning: ./spef/caravel.spef line 15708, *2808 not connected to net gpio_resetn_1\[13\]. +Warning: ./spef/caravel.spef line 15709, *2807 not connected to net gpio_resetn_1\[13\]. +Warning: ./spef/caravel.spef line 15770, *2807 not connected to net gpio_resetn_1\[13\]. +Warning: ./spef/caravel.spef line 15779, *2808 not connected to net gpio_resetn_1\[13\]. +Warning: ./spef/caravel.spef line 15805, *2809 not connected to net gpio_resetn_1\[14\]. +Warning: ./spef/caravel.spef line 15806, *2808 not connected to net gpio_resetn_1\[14\]. +Warning: ./spef/caravel.spef line 15866, *2808 not connected to net gpio_resetn_1\[14\]. +Warning: ./spef/caravel.spef line 15870, *2809 not connected to net gpio_resetn_1\[14\]. +Warning: ./spef/caravel.spef line 15892, *2783 not connected to net gpio_resetn_1\[15\]. +Warning: ./spef/caravel.spef line 15893, *2809 not connected to net gpio_resetn_1\[15\]. +Warning: ./spef/caravel.spef line 15950, *2809 not connected to net gpio_resetn_1\[15\]. +Warning: ./spef/caravel.spef line 15953, *2783 not connected to net gpio_resetn_1\[15\]. +Warning: ./spef/caravel.spef line 15976, *2784 not connected to net gpio_resetn_1\[16\]. +Warning: ./spef/caravel.spef line 15977, *2783 not connected to net gpio_resetn_1\[16\]. +Warning: ./spef/caravel.spef line 16051, *2783 not connected to net gpio_resetn_1\[16\]. +Warning: ./spef/caravel.spef line 16052, *2784 not connected to net gpio_resetn_1\[16\]. +Warning: ./spef/caravel.spef line 16065, *2785 not connected to net gpio_resetn_1\[17\]. +Warning: ./spef/caravel.spef line 16066, *2784 not connected to net gpio_resetn_1\[17\]. +Warning: ./spef/caravel.spef line 16154, *2784 not connected to net gpio_resetn_1\[17\]. +Warning: ./spef/caravel.spef line 16158, *2785 not connected to net gpio_resetn_1\[17\]. +Warning: ./spef/caravel.spef line 16168, *2785 not connected to net gpio_resetn_1\[18\]. +Warning: ./spef/caravel.spef line 16255, *2785 not connected to net gpio_resetn_1\[18\]. +Warning: ./spef/caravel.spef line 16267, *2811 not connected to net gpio_resetn_1\[1\]. +Warning: ./spef/caravel.spef line 16268, *2810 not connected to net gpio_resetn_1\[1\]. +Warning: ./spef/caravel.spef line 16336, *2810 not connected to net gpio_resetn_1\[1\]. +Warning: ./spef/caravel.spef line 16344, *2811 not connected to net gpio_resetn_1\[1\]. +Warning: ./spef/caravel.spef line 16366, *2812 not connected to net gpio_resetn_1\[2\]. +Warning: ./spef/caravel.spef line 16369, *2811 not connected to net gpio_resetn_1\[2\]. +Warning: ./spef/caravel.spef line 16421, *2811 not connected to net gpio_resetn_1\[2\]. +Warning: ./spef/caravel.spef line 16437, *2812 not connected to net gpio_resetn_1\[2\]. +Warning: ./spef/caravel.spef line 16449, *2813 not connected to net gpio_resetn_1\[3\]. +Warning: ./spef/caravel.spef line 16450, *2812 not connected to net gpio_resetn_1\[3\]. +Warning: ./spef/caravel.spef line 16518, *2812 not connected to net gpio_resetn_1\[3\]. +Warning: ./spef/caravel.spef line 16525, *2813 not connected to net gpio_resetn_1\[3\]. +Warning: ./spef/caravel.spef line 16549, *2814 not connected to net gpio_resetn_1\[4\]. +Warning: ./spef/caravel.spef line 16550, *2813 not connected to net gpio_resetn_1\[4\]. +Warning: ./spef/caravel.spef line 16609, *2813 not connected to net gpio_resetn_1\[4\]. +Warning: ./spef/caravel.spef line 16621, *2814 not connected to net gpio_resetn_1\[4\]. +Warning: ./spef/caravel.spef line 16640, *2815 not connected to net gpio_resetn_1\[5\]. +Warning: ./spef/caravel.spef line 16641, *2814 not connected to net gpio_resetn_1\[5\]. +Warning: ./spef/caravel.spef line 16724, *2814 not connected to net gpio_resetn_1\[5\]. +Warning: ./spef/caravel.spef line 16730, *2815 not connected to net gpio_resetn_1\[5\]. +Warning: ./spef/caravel.spef line 16757, *2816 not connected to net gpio_resetn_1\[6\]. +Warning: ./spef/caravel.spef line 16758, *2815 not connected to net gpio_resetn_1\[6\]. +Warning: ./spef/caravel.spef line 16848, *2815 not connected to net gpio_resetn_1\[6\]. +Warning: ./spef/caravel.spef line 16853, *2816 not connected to net gpio_resetn_1\[6\]. +Warning: ./spef/caravel.spef line 16878, *2817 not connected to net gpio_resetn_1\[7\]. +Warning: ./spef/caravel.spef line 16879, *2816 not connected to net gpio_resetn_1\[7\]. +Warning: ./spef/caravel.spef line 16957, *2816 not connected to net gpio_resetn_1\[7\]. +Warning: ./spef/caravel.spef line 16959, *2817 not connected to net gpio_resetn_1\[7\]. +Warning: ./spef/caravel.spef line 16984, *2818 not connected to net gpio_resetn_1\[8\]. +Warning: ./spef/caravel.spef line 16987, *2817 not connected to net gpio_resetn_1\[8\]. +Warning: ./spef/caravel.spef line 17065, *2817 not connected to net gpio_resetn_1\[8\]. +Warning: ./spef/caravel.spef line 17085, *2818 not connected to net gpio_resetn_1\[8\]. +Warning: ./spef/caravel.spef line 17097, *2804 not connected to net gpio_resetn_1\[9\]. +Warning: ./spef/caravel.spef line 17098, *2818 not connected to net gpio_resetn_1\[9\]. +Warning: ./spef/caravel.spef line 17176, *2818 not connected to net gpio_resetn_1\[9\]. +Warning: ./spef/caravel.spef line 17185, *2804 not connected to net gpio_resetn_1\[9\]. +Warning: ./spef/caravel.spef line 17207, *2803 not connected to net gpio_resetn_1_shifted\[0\]. +Warning: ./spef/caravel.spef line 17259, *2803 not connected to net gpio_resetn_1_shifted\[0\]. +Warning: ./spef/caravel.spef line 24838, *2821 not connected to net la_data_in_user\[0\]. +Warning: ./spef/caravel.spef line 24865, *2821 not connected to net la_data_in_user\[0\]. +Warning: ./spef/caravel.spef line 24873, *2821 not connected to net la_data_in_user\[100\]. +Warning: ./spef/caravel.spef line 24893, *2821 not connected to net la_data_in_user\[100\]. +Warning: ./spef/caravel.spef line 24901, *2821 not connected to net la_data_in_user\[101\]. +Warning: ./spef/caravel.spef line 24918, *2821 not connected to net la_data_in_user\[101\]. +Warning: ./spef/caravel.spef line 24926, *2821 not connected to net la_data_in_user\[102\]. +Warning: ./spef/caravel.spef line 24943, *2821 not connected to net la_data_in_user\[102\]. +Warning: ./spef/caravel.spef line 24951, *2821 not connected to net la_data_in_user\[103\]. +Warning: ./spef/caravel.spef line 24967, *2821 not connected to net la_data_in_user\[103\]. +Warning: ./spef/caravel.spef line 24975, *2821 not connected to net la_data_in_user\[104\]. +Warning: ./spef/caravel.spef line 24991, *2821 not connected to net la_data_in_user\[104\]. +Warning: ./spef/caravel.spef line 24999, *2821 not connected to net la_data_in_user\[105\]. +Warning: ./spef/caravel.spef line 25015, *2821 not connected to net la_data_in_user\[105\]. +Warning: ./spef/caravel.spef line 25023, *2821 not connected to net la_data_in_user\[106\]. +Warning: ./spef/caravel.spef line 25039, *2821 not connected to net la_data_in_user\[106\]. +Warning: ./spef/caravel.spef line 25047, *2821 not connected to net la_data_in_user\[107\]. +Warning: ./spef/caravel.spef line 25066, *2821 not connected to net la_data_in_user\[107\]. +Warning: ./spef/caravel.spef line 25074, *2821 not connected to net la_data_in_user\[108\]. +Warning: ./spef/caravel.spef line 25092, *2821 not connected to net la_data_in_user\[108\]. +Warning: ./spef/caravel.spef line 25100, *2821 not connected to net la_data_in_user\[109\]. +Warning: ./spef/caravel.spef line 25118, *2821 not connected to net la_data_in_user\[109\]. +Warning: ./spef/caravel.spef line 25126, *2821 not connected to net la_data_in_user\[10\]. +Warning: ./spef/caravel.spef line 25141, *2821 not connected to net la_data_in_user\[10\]. +Warning: ./spef/caravel.spef line 25149, *2821 not connected to net la_data_in_user\[110\]. +Warning: ./spef/caravel.spef line 25175, *2821 not connected to net la_data_in_user\[110\]. +Warning: ./spef/caravel.spef line 25183, *2821 not connected to net la_data_in_user\[111\]. +Warning: ./spef/caravel.spef line 25203, *2821 not connected to net la_data_in_user\[111\]. +Warning: ./spef/caravel.spef line 25211, *2821 not connected to net la_data_in_user\[112\]. +Warning: ./spef/caravel.spef line 25227, *2821 not connected to net la_data_in_user\[112\]. +Warning: ./spef/caravel.spef line 25235, *2821 not connected to net la_data_in_user\[113\]. +Warning: ./spef/caravel.spef line 25251, *2821 not connected to net la_data_in_user\[113\]. +Warning: ./spef/caravel.spef line 25259, *2821 not connected to net la_data_in_user\[114\]. +Warning: ./spef/caravel.spef line 25276, *2821 not connected to net la_data_in_user\[114\]. +Warning: ./spef/caravel.spef line 25284, *2821 not connected to net la_data_in_user\[115\]. +Warning: ./spef/caravel.spef line 25301, *2821 not connected to net la_data_in_user\[115\]. +Warning: ./spef/caravel.spef line 25309, *2821 not connected to net la_data_in_user\[116\]. +Warning: ./spef/caravel.spef line 25326, *2821 not connected to net la_data_in_user\[116\]. +Warning: ./spef/caravel.spef line 25334, *2821 not connected to net la_data_in_user\[117\]. +Warning: ./spef/caravel.spef line 25350, *2821 not connected to net la_data_in_user\[117\]. +Warning: ./spef/caravel.spef line 25358, *2821 not connected to net la_data_in_user\[118\]. +Warning: ./spef/caravel.spef line 25376, *2821 not connected to net la_data_in_user\[118\]. +Warning: ./spef/caravel.spef line 25384, *2821 not connected to net la_data_in_user\[119\]. +Warning: ./spef/caravel.spef line 25400, *2821 not connected to net la_data_in_user\[119\]. +Warning: ./spef/caravel.spef line 25408, *2821 not connected to net la_data_in_user\[11\]. +Warning: ./spef/caravel.spef line 25426, *2821 not connected to net la_data_in_user\[11\]. +Warning: ./spef/caravel.spef line 25434, *2821 not connected to net la_data_in_user\[120\]. +Warning: ./spef/caravel.spef line 25451, *2821 not connected to net la_data_in_user\[120\]. +Warning: ./spef/caravel.spef line 25459, *2821 not connected to net la_data_in_user\[121\]. +Warning: ./spef/caravel.spef line 25486, *2821 not connected to net la_data_in_user\[121\]. +Warning: ./spef/caravel.spef line 25494, *2821 not connected to net la_data_in_user\[122\]. +Warning: ./spef/caravel.spef line 25514, *2821 not connected to net la_data_in_user\[122\]. +Warning: ./spef/caravel.spef line 25522, *2821 not connected to net la_data_in_user\[123\]. +Warning: ./spef/caravel.spef line 25550, *2821 not connected to net la_data_in_user\[123\]. +Warning: ./spef/caravel.spef line 25558, *2821 not connected to net la_data_in_user\[124\]. +Warning: ./spef/caravel.spef line 25579, *2821 not connected to net la_data_in_user\[124\]. +Warning: ./spef/caravel.spef line 25587, *2821 not connected to net la_data_in_user\[125\]. +Warning: ./spef/caravel.spef line 25613, *2821 not connected to net la_data_in_user\[125\]. +Warning: ./spef/caravel.spef line 25621, *2821 not connected to net la_data_in_user\[126\]. +Warning: ./spef/caravel.spef line 25647, *2821 not connected to net la_data_in_user\[126\]. +Warning: ./spef/caravel.spef line 25655, *2821 not connected to net la_data_in_user\[127\]. +Warning: ./spef/caravel.spef line 25682, *2821 not connected to net la_data_in_user\[127\]. +Warning: ./spef/caravel.spef line 25690, *2821 not connected to net la_data_in_user\[12\]. +Warning: ./spef/caravel.spef line 25705, *2821 not connected to net la_data_in_user\[12\]. +Warning: ./spef/caravel.spef line 25713, *2821 not connected to net la_data_in_user\[13\]. +Warning: ./spef/caravel.spef line 25730, *2821 not connected to net la_data_in_user\[13\]. +Warning: ./spef/caravel.spef line 25738, *2821 not connected to net la_data_in_user\[14\]. +Warning: ./spef/caravel.spef line 25768, *2821 not connected to net la_data_in_user\[14\]. +Warning: ./spef/caravel.spef line 25776, *2821 not connected to net la_data_in_user\[15\]. +Warning: ./spef/caravel.spef line 25793, *2821 not connected to net la_data_in_user\[15\]. +Warning: ./spef/caravel.spef line 25801, *2821 not connected to net la_data_in_user\[16\]. +Warning: ./spef/caravel.spef line 25813, *2821 not connected to net la_data_in_user\[16\]. +Warning: ./spef/caravel.spef line 25821, *2821 not connected to net la_data_in_user\[17\]. +Warning: ./spef/caravel.spef line 25838, *2821 not connected to net la_data_in_user\[17\]. +Warning: ./spef/caravel.spef line 25846, *2821 not connected to net la_data_in_user\[18\]. +Warning: ./spef/caravel.spef line 25863, *2821 not connected to net la_data_in_user\[18\]. +Warning: ./spef/caravel.spef line 25871, *2821 not connected to net la_data_in_user\[19\]. +Warning: ./spef/caravel.spef line 25888, *2821 not connected to net la_data_in_user\[19\]. +Warning: ./spef/caravel.spef line 25896, *2821 not connected to net la_data_in_user\[1\]. +Warning: ./spef/caravel.spef line 25926, *2821 not connected to net la_data_in_user\[1\]. +Warning: ./spef/caravel.spef line 25934, *2821 not connected to net la_data_in_user\[20\]. +Warning: ./spef/caravel.spef line 25946, *2821 not connected to net la_data_in_user\[20\]. +Warning: ./spef/caravel.spef line 25954, *2821 not connected to net la_data_in_user\[21\]. +Warning: ./spef/caravel.spef line 25967, *2821 not connected to net la_data_in_user\[21\]. +Warning: ./spef/caravel.spef line 25975, *2821 not connected to net la_data_in_user\[22\]. +Warning: ./spef/caravel.spef line 25982, *2821 not connected to net la_data_in_user\[22\]. +Warning: ./spef/caravel.spef line 25990, *2821 not connected to net la_data_in_user\[23\]. +Warning: ./spef/caravel.spef line 26004, *2821 not connected to net la_data_in_user\[23\]. +Warning: ./spef/caravel.spef line 26012, *2821 not connected to net la_data_in_user\[24\]. +Warning: ./spef/caravel.spef line 26025, *2821 not connected to net la_data_in_user\[24\]. +Warning: ./spef/caravel.spef line 26033, *2821 not connected to net la_data_in_user\[25\]. +Warning: ./spef/caravel.spef line 26050, *2821 not connected to net la_data_in_user\[25\]. +Warning: ./spef/caravel.spef line 26058, *2821 not connected to net la_data_in_user\[26\]. +Warning: ./spef/caravel.spef line 26074, *2821 not connected to net la_data_in_user\[26\]. +Warning: ./spef/caravel.spef line 26082, *2821 not connected to net la_data_in_user\[27\]. +Warning: ./spef/caravel.spef line 26105, *2821 not connected to net la_data_in_user\[27\]. +Warning: ./spef/caravel.spef line 26113, *2821 not connected to net la_data_in_user\[28\]. +Warning: ./spef/caravel.spef line 26135, *2821 not connected to net la_data_in_user\[28\]. +Warning: ./spef/caravel.spef line 26143, *2821 not connected to net la_data_in_user\[29\]. +Warning: ./spef/caravel.spef line 26169, *2821 not connected to net la_data_in_user\[29\]. +Warning: ./spef/caravel.spef line 26177, *2821 not connected to net la_data_in_user\[2\]. +Warning: ./spef/caravel.spef line 26196, *2821 not connected to net la_data_in_user\[2\]. +Warning: ./spef/caravel.spef line 26204, *2821 not connected to net la_data_in_user\[30\]. +Warning: ./spef/caravel.spef line 26227, *2821 not connected to net la_data_in_user\[30\]. +Warning: ./spef/caravel.spef line 26235, *2821 not connected to net la_data_in_user\[31\]. +Warning: ./spef/caravel.spef line 26253, *2821 not connected to net la_data_in_user\[31\]. +Warning: ./spef/caravel.spef line 26261, *2821 not connected to net la_data_in_user\[32\]. +Warning: ./spef/caravel.spef line 26279, *2821 not connected to net la_data_in_user\[32\]. +Warning: ./spef/caravel.spef line 26287, *2821 not connected to net la_data_in_user\[33\]. +Warning: ./spef/caravel.spef line 26309, *2821 not connected to net la_data_in_user\[33\]. +Warning: ./spef/caravel.spef line 26317, *2821 not connected to net la_data_in_user\[34\]. +Warning: ./spef/caravel.spef line 26338, *2821 not connected to net la_data_in_user\[34\]. +Warning: ./spef/caravel.spef line 26346, *2821 not connected to net la_data_in_user\[35\]. +Warning: ./spef/caravel.spef line 26360, *2821 not connected to net la_data_in_user\[35\]. +Warning: ./spef/caravel.spef line 26368, *2821 not connected to net la_data_in_user\[36\]. +Warning: ./spef/caravel.spef line 26384, *2821 not connected to net la_data_in_user\[36\]. +Warning: ./spef/caravel.spef line 26392, *2821 not connected to net la_data_in_user\[37\]. +Warning: ./spef/caravel.spef line 26409, *2821 not connected to net la_data_in_user\[37\]. +Warning: ./spef/caravel.spef line 26417, *2821 not connected to net la_data_in_user\[38\]. +Warning: ./spef/caravel.spef line 26435, *2821 not connected to net la_data_in_user\[38\]. +Warning: ./spef/caravel.spef line 26443, *2821 not connected to net la_data_in_user\[39\]. +Warning: ./spef/caravel.spef line 26462, *2821 not connected to net la_data_in_user\[39\]. +Warning: ./spef/caravel.spef line 26470, *2821 not connected to net la_data_in_user\[3\]. +Warning: ./spef/caravel.spef line 26492, *2821 not connected to net la_data_in_user\[3\]. +Warning: ./spef/caravel.spef line 26500, *2821 not connected to net la_data_in_user\[40\]. +Warning: ./spef/caravel.spef line 26526, *2821 not connected to net la_data_in_user\[40\]. +Warning: ./spef/caravel.spef line 26534, *2821 not connected to net la_data_in_user\[41\]. +Warning: ./spef/caravel.spef line 26554, *2821 not connected to net la_data_in_user\[41\]. +Warning: ./spef/caravel.spef line 26562, *2821 not connected to net la_data_in_user\[42\]. +Warning: ./spef/caravel.spef line 26586, *2821 not connected to net la_data_in_user\[42\]. +Warning: ./spef/caravel.spef line 26594, *2821 not connected to net la_data_in_user\[43\]. +Warning: ./spef/caravel.spef line 26622, *2821 not connected to net la_data_in_user\[43\]. +Warning: ./spef/caravel.spef line 26630, *2821 not connected to net la_data_in_user\[44\]. +Warning: ./spef/caravel.spef line 26653, *2821 not connected to net la_data_in_user\[44\]. +Warning: ./spef/caravel.spef line 26661, *2821 not connected to net la_data_in_user\[45\]. +Warning: ./spef/caravel.spef line 26679, *2821 not connected to net la_data_in_user\[45\]. +Warning: ./spef/caravel.spef line 26687, *2821 not connected to net la_data_in_user\[46\]. +Warning: ./spef/caravel.spef line 26703, *2821 not connected to net la_data_in_user\[46\]. +Warning: ./spef/caravel.spef line 26711, *2821 not connected to net la_data_in_user\[47\]. +Warning: ./spef/caravel.spef line 26727, *2821 not connected to net la_data_in_user\[47\]. +Warning: ./spef/caravel.spef line 26735, *2821 not connected to net la_data_in_user\[48\]. +Warning: ./spef/caravel.spef line 26752, *2821 not connected to net la_data_in_user\[48\]. +Warning: ./spef/caravel.spef line 26760, *2821 not connected to net la_data_in_user\[49\]. +Warning: ./spef/caravel.spef line 26778, *2821 not connected to net la_data_in_user\[49\]. +Warning: ./spef/caravel.spef line 26786, *2821 not connected to net la_data_in_user\[4\]. +Warning: ./spef/caravel.spef line 26813, *2821 not connected to net la_data_in_user\[4\]. +Warning: ./spef/caravel.spef line 26821, *2821 not connected to net la_data_in_user\[50\]. +Warning: ./spef/caravel.spef line 26839, *2821 not connected to net la_data_in_user\[50\]. +Warning: ./spef/caravel.spef line 26847, *2821 not connected to net la_data_in_user\[51\]. +Warning: ./spef/caravel.spef line 26864, *2821 not connected to net la_data_in_user\[51\]. +Warning: ./spef/caravel.spef line 26872, *2821 not connected to net la_data_in_user\[52\]. +Warning: ./spef/caravel.spef line 26894, *2821 not connected to net la_data_in_user\[52\]. +Warning: ./spef/caravel.spef line 26902, *2821 not connected to net la_data_in_user\[53\]. +Warning: ./spef/caravel.spef line 26923, *2821 not connected to net la_data_in_user\[53\]. +Warning: ./spef/caravel.spef line 26931, *2821 not connected to net la_data_in_user\[54\]. +Warning: ./spef/caravel.spef line 26951, *2821 not connected to net la_data_in_user\[54\]. +Warning: ./spef/caravel.spef line 26959, *2821 not connected to net la_data_in_user\[55\]. +Warning: ./spef/caravel.spef line 26976, *2821 not connected to net la_data_in_user\[55\]. +Warning: ./spef/caravel.spef line 26984, *2821 not connected to net la_data_in_user\[56\]. +Warning: ./spef/caravel.spef line 27005, *2821 not connected to net la_data_in_user\[56\]. +Warning: ./spef/caravel.spef line 27013, *2821 not connected to net la_data_in_user\[57\]. +Warning: ./spef/caravel.spef line 27035, *2821 not connected to net la_data_in_user\[57\]. +Warning: ./spef/caravel.spef line 27043, *2821 not connected to net la_data_in_user\[58\]. +Warning: ./spef/caravel.spef line 27077, *2821 not connected to net la_data_in_user\[58\]. +Warning: ./spef/caravel.spef line 27085, *2821 not connected to net la_data_in_user\[59\]. +Warning: ./spef/caravel.spef line 27103, *2821 not connected to net la_data_in_user\[59\]. +Warning: ./spef/caravel.spef line 27111, *2821 not connected to net la_data_in_user\[5\]. +Warning: ./spef/caravel.spef line 27138, *2821 not connected to net la_data_in_user\[5\]. +Warning: ./spef/caravel.spef line 27146, *2821 not connected to net la_data_in_user\[60\]. +Warning: ./spef/caravel.spef line 27163, *2821 not connected to net la_data_in_user\[60\]. +Warning: ./spef/caravel.spef line 27171, *2821 not connected to net la_data_in_user\[61\]. +Warning: ./spef/caravel.spef line 27188, *2821 not connected to net la_data_in_user\[61\]. +Warning: ./spef/caravel.spef line 27196, *2821 not connected to net la_data_in_user\[62\]. +Warning: ./spef/caravel.spef line 27225, *2821 not connected to net la_data_in_user\[62\]. +Warning: ./spef/caravel.spef line 27233, *2821 not connected to net la_data_in_user\[63\]. +Warning: ./spef/caravel.spef line 27256, *2821 not connected to net la_data_in_user\[63\]. +Warning: ./spef/caravel.spef line 27264, *2821 not connected to net la_data_in_user\[64\]. +Warning: ./spef/caravel.spef line 27287, *2821 not connected to net la_data_in_user\[64\]. +Warning: ./spef/caravel.spef line 27295, *2821 not connected to net la_data_in_user\[65\]. +Warning: ./spef/caravel.spef line 27317, *2821 not connected to net la_data_in_user\[65\]. +Warning: ./spef/caravel.spef line 27325, *2821 not connected to net la_data_in_user\[66\]. +Warning: ./spef/caravel.spef line 27354, *2821 not connected to net la_data_in_user\[66\]. +Warning: ./spef/caravel.spef line 27362, *2821 not connected to net la_data_in_user\[67\]. +Warning: ./spef/caravel.spef line 27390, *2821 not connected to net la_data_in_user\[67\]. +Warning: ./spef/caravel.spef line 27398, *2821 not connected to net la_data_in_user\[68\]. +Warning: ./spef/caravel.spef line 27432, *2821 not connected to net la_data_in_user\[68\]. +Warning: ./spef/caravel.spef line 27440, *2821 not connected to net la_data_in_user\[69\]. +Warning: ./spef/caravel.spef line 27467, *2821 not connected to net la_data_in_user\[69\]. +Warning: ./spef/caravel.spef line 27475, *2821 not connected to net la_data_in_user\[6\]. +Warning: ./spef/caravel.spef line 27491, *2821 not connected to net la_data_in_user\[6\]. +Warning: ./spef/caravel.spef line 27499, *2821 not connected to net la_data_in_user\[70\]. +Warning: ./spef/caravel.spef line 27523, *2821 not connected to net la_data_in_user\[70\]. +Warning: ./spef/caravel.spef line 27531, *2821 not connected to net la_data_in_user\[71\]. +Warning: ./spef/caravel.spef line 27548, *2821 not connected to net la_data_in_user\[71\]. +Warning: ./spef/caravel.spef line 27556, *2821 not connected to net la_data_in_user\[72\]. +Warning: ./spef/caravel.spef line 27576, *2821 not connected to net la_data_in_user\[72\]. +Warning: ./spef/caravel.spef line 27584, *2821 not connected to net la_data_in_user\[73\]. +Warning: ./spef/caravel.spef line 27602, *2821 not connected to net la_data_in_user\[73\]. +Warning: ./spef/caravel.spef line 27610, *2821 not connected to net la_data_in_user\[74\]. +Warning: ./spef/caravel.spef line 27628, *2821 not connected to net la_data_in_user\[74\]. +Warning: ./spef/caravel.spef line 27636, *2821 not connected to net la_data_in_user\[75\]. +Warning: ./spef/caravel.spef line 27652, *2821 not connected to net la_data_in_user\[75\]. +Warning: ./spef/caravel.spef line 27660, *2821 not connected to net la_data_in_user\[76\]. +Warning: ./spef/caravel.spef line 27679, *2821 not connected to net la_data_in_user\[76\]. +Warning: ./spef/caravel.spef line 27687, *2821 not connected to net la_data_in_user\[77\]. +Warning: ./spef/caravel.spef line 27710, *2821 not connected to net la_data_in_user\[77\]. +Warning: ./spef/caravel.spef line 27718, *2821 not connected to net la_data_in_user\[78\]. +Warning: ./spef/caravel.spef line 27737, *2821 not connected to net la_data_in_user\[78\]. +Warning: ./spef/caravel.spef line 27745, *2821 not connected to net la_data_in_user\[79\]. +Warning: ./spef/caravel.spef line 27761, *2821 not connected to net la_data_in_user\[79\]. +Warning: ./spef/caravel.spef line 27769, *2821 not connected to net la_data_in_user\[7\]. +Warning: ./spef/caravel.spef line 27791, *2821 not connected to net la_data_in_user\[7\]. +Warning: ./spef/caravel.spef line 27799, *2821 not connected to net la_data_in_user\[80\]. +Warning: ./spef/caravel.spef line 27816, *2821 not connected to net la_data_in_user\[80\]. +Warning: ./spef/caravel.spef line 27824, *2821 not connected to net la_data_in_user\[81\]. +Warning: ./spef/caravel.spef line 27841, *2821 not connected to net la_data_in_user\[81\]. +Warning: ./spef/caravel.spef line 27849, *2821 not connected to net la_data_in_user\[82\]. +Warning: ./spef/caravel.spef line 27866, *2821 not connected to net la_data_in_user\[82\]. +Warning: ./spef/caravel.spef line 27874, *2821 not connected to net la_data_in_user\[83\]. +Warning: ./spef/caravel.spef line 27892, *2821 not connected to net la_data_in_user\[83\]. +Warning: ./spef/caravel.spef line 27900, *2821 not connected to net la_data_in_user\[84\]. +Warning: ./spef/caravel.spef line 27916, *2821 not connected to net la_data_in_user\[84\]. +Warning: ./spef/caravel.spef line 27924, *2821 not connected to net la_data_in_user\[85\]. +Warning: ./spef/caravel.spef line 27940, *2821 not connected to net la_data_in_user\[85\]. +Warning: ./spef/caravel.spef line 27948, *2821 not connected to net la_data_in_user\[86\]. +Warning: ./spef/caravel.spef line 27964, *2821 not connected to net la_data_in_user\[86\]. +Warning: ./spef/caravel.spef line 27972, *2821 not connected to net la_data_in_user\[87\]. +Warning: ./spef/caravel.spef line 27989, *2821 not connected to net la_data_in_user\[87\]. +Warning: ./spef/caravel.spef line 27997, *2821 not connected to net la_data_in_user\[88\]. +Warning: ./spef/caravel.spef line 28016, *2821 not connected to net la_data_in_user\[88\]. +Warning: ./spef/caravel.spef line 28024, *2821 not connected to net la_data_in_user\[89\]. +Warning: ./spef/caravel.spef line 28041, *2821 not connected to net la_data_in_user\[89\]. +Warning: ./spef/caravel.spef line 28049, *2821 not connected to net la_data_in_user\[8\]. +Warning: ./spef/caravel.spef line 28071, *2821 not connected to net la_data_in_user\[8\]. +Warning: ./spef/caravel.spef line 28079, *2821 not connected to net la_data_in_user\[90\]. +Warning: ./spef/caravel.spef line 28099, *2821 not connected to net la_data_in_user\[90\]. +Warning: ./spef/caravel.spef line 28107, *2821 not connected to net la_data_in_user\[91\]. +Warning: ./spef/caravel.spef line 28124, *2821 not connected to net la_data_in_user\[91\]. +Warning: ./spef/caravel.spef line 28132, *2821 not connected to net la_data_in_user\[92\]. +Warning: ./spef/caravel.spef line 28148, *2821 not connected to net la_data_in_user\[92\]. +Warning: ./spef/caravel.spef line 28156, *2821 not connected to net la_data_in_user\[93\]. +Warning: ./spef/caravel.spef line 28177, *2821 not connected to net la_data_in_user\[93\]. +Warning: ./spef/caravel.spef line 28185, *2821 not connected to net la_data_in_user\[94\]. +Warning: ./spef/caravel.spef line 28202, *2821 not connected to net la_data_in_user\[94\]. +Warning: ./spef/caravel.spef line 28210, *2821 not connected to net la_data_in_user\[95\]. +Warning: ./spef/caravel.spef line 28227, *2821 not connected to net la_data_in_user\[95\]. +Warning: ./spef/caravel.spef line 28235, *2821 not connected to net la_data_in_user\[96\]. +Warning: ./spef/caravel.spef line 28252, *2821 not connected to net la_data_in_user\[96\]. +Warning: ./spef/caravel.spef line 28260, *2821 not connected to net la_data_in_user\[97\]. +Warning: ./spef/caravel.spef line 28277, *2821 not connected to net la_data_in_user\[97\]. +Warning: ./spef/caravel.spef line 28285, *2821 not connected to net la_data_in_user\[98\]. +Warning: ./spef/caravel.spef line 28302, *2821 not connected to net la_data_in_user\[98\]. +Warning: ./spef/caravel.spef line 28310, *2821 not connected to net la_data_in_user\[99\]. +Warning: ./spef/caravel.spef line 28328, *2821 not connected to net la_data_in_user\[99\]. +Warning: ./spef/caravel.spef line 28336, *2821 not connected to net la_data_in_user\[9\]. +Warning: ./spef/caravel.spef line 28354, *2821 not connected to net la_data_in_user\[9\]. +Warning: ./spef/caravel.spef line 32567, *2821 not connected to net la_data_out_user\[0\]. +Warning: ./spef/caravel.spef line 32592, *2821 not connected to net la_data_out_user\[0\]. +Warning: ./spef/caravel.spef line 32605, *2821 not connected to net la_data_out_user\[100\]. +Warning: ./spef/caravel.spef line 32617, *2821 not connected to net la_data_out_user\[100\]. +Warning: ./spef/caravel.spef line 32629, *2821 not connected to net la_data_out_user\[101\]. +Warning: ./spef/caravel.spef line 32645, *2821 not connected to net la_data_out_user\[101\]. +Warning: ./spef/caravel.spef line 32658, *2821 not connected to net la_data_out_user\[102\]. +Warning: ./spef/caravel.spef line 32670, *2821 not connected to net la_data_out_user\[102\]. +Warning: ./spef/caravel.spef line 32682, *2821 not connected to net la_data_out_user\[103\]. +Warning: ./spef/caravel.spef line 32696, *2821 not connected to net la_data_out_user\[103\]. +Warning: ./spef/caravel.spef line 32708, *2821 not connected to net la_data_out_user\[104\]. +Warning: ./spef/caravel.spef line 32721, *2821 not connected to net la_data_out_user\[104\]. +Warning: ./spef/caravel.spef line 32733, *2821 not connected to net la_data_out_user\[105\]. +Warning: ./spef/caravel.spef line 32746, *2821 not connected to net la_data_out_user\[105\]. +Warning: ./spef/caravel.spef line 32758, *2821 not connected to net la_data_out_user\[106\]. +Warning: ./spef/caravel.spef line 32770, *2821 not connected to net la_data_out_user\[106\]. +Warning: ./spef/caravel.spef line 32782, *2821 not connected to net la_data_out_user\[107\]. +Warning: ./spef/caravel.spef line 32795, *2821 not connected to net la_data_out_user\[107\]. +Warning: ./spef/caravel.spef line 32807, *2821 not connected to net la_data_out_user\[108\]. +Warning: ./spef/caravel.spef line 32819, *2821 not connected to net la_data_out_user\[108\]. +Warning: ./spef/caravel.spef line 32831, *2821 not connected to net la_data_out_user\[109\]. +Warning: ./spef/caravel.spef line 32848, *2821 not connected to net la_data_out_user\[109\]. +Warning: ./spef/caravel.spef line 32860, *2821 not connected to net la_data_out_user\[10\]. +Warning: ./spef/caravel.spef line 32873, *2821 not connected to net la_data_out_user\[10\]. +Warning: ./spef/caravel.spef line 32884, *2821 not connected to net la_data_out_user\[110\]. +Warning: ./spef/caravel.spef line 32899, *2821 not connected to net la_data_out_user\[110\]. +Warning: ./spef/caravel.spef line 32911, *2821 not connected to net la_data_out_user\[111\]. +Warning: ./spef/caravel.spef line 32922, *2821 not connected to net la_data_out_user\[111\]. +Warning: ./spef/caravel.spef line 32934, *2821 not connected to net la_data_out_user\[112\]. +Warning: ./spef/caravel.spef line 32949, *2821 not connected to net la_data_out_user\[112\]. +Warning: ./spef/caravel.spef line 32961, *2821 not connected to net la_data_out_user\[113\]. +Warning: ./spef/caravel.spef line 32974, *2821 not connected to net la_data_out_user\[113\]. +Warning: ./spef/caravel.spef line 32987, *2821 not connected to net la_data_out_user\[114\]. +Warning: ./spef/caravel.spef line 32999, *2821 not connected to net la_data_out_user\[114\]. +Warning: ./spef/caravel.spef line 33011, *2821 not connected to net la_data_out_user\[115\]. +Warning: ./spef/caravel.spef line 33024, *2821 not connected to net la_data_out_user\[115\]. +Warning: ./spef/caravel.spef line 33036, *2821 not connected to net la_data_out_user\[116\]. +Warning: ./spef/caravel.spef line 33048, *2821 not connected to net la_data_out_user\[116\]. +Warning: ./spef/caravel.spef line 33060, *2821 not connected to net la_data_out_user\[117\]. +Warning: ./spef/caravel.spef line 33074, *2821 not connected to net la_data_out_user\[117\]. +Warning: ./spef/caravel.spef line 33086, *2821 not connected to net la_data_out_user\[118\]. +Warning: ./spef/caravel.spef line 33116, *2821 not connected to net la_data_out_user\[118\]. +Warning: ./spef/caravel.spef line 33130, *2821 not connected to net la_data_out_user\[119\]. +Warning: ./spef/caravel.spef line 33144, *2821 not connected to net la_data_out_user\[119\]. +Warning: ./spef/caravel.spef line 33156, *2821 not connected to net la_data_out_user\[11\]. +Warning: ./spef/caravel.spef line 33177, *2821 not connected to net la_data_out_user\[11\]. +Warning: ./spef/caravel.spef line 33190, *2821 not connected to net la_data_out_user\[120\]. +Warning: ./spef/caravel.spef line 33214, *2821 not connected to net la_data_out_user\[120\]. +Warning: ./spef/caravel.spef line 33228, *2821 not connected to net la_data_out_user\[121\]. +Warning: ./spef/caravel.spef line 33243, *2821 not connected to net la_data_out_user\[121\]. +Warning: ./spef/caravel.spef line 33256, *2821 not connected to net la_data_out_user\[122\]. +Warning: ./spef/caravel.spef line 33281, *2821 not connected to net la_data_out_user\[122\]. +Warning: ./spef/caravel.spef line 33295, *2821 not connected to net la_data_out_user\[123\]. +Warning: ./spef/caravel.spef line 33309, *2821 not connected to net la_data_out_user\[123\]. +Warning: ./spef/caravel.spef line 33321, *2821 not connected to net la_data_out_user\[124\]. +Warning: ./spef/caravel.spef line 33343, *2821 not connected to net la_data_out_user\[124\]. +Warning: ./spef/caravel.spef line 33356, *2821 not connected to net la_data_out_user\[125\]. +Warning: ./spef/caravel.spef line 33368, *2821 not connected to net la_data_out_user\[125\]. +Warning: ./spef/caravel.spef line 33380, *2821 not connected to net la_data_out_user\[126\]. +Warning: ./spef/caravel.spef line 33409, *2821 not connected to net la_data_out_user\[126\]. +Warning: ./spef/caravel.spef line 33422, *2821 not connected to net la_data_out_user\[127\]. +Warning: ./spef/caravel.spef line 33434, *2821 not connected to net la_data_out_user\[127\]. +Warning: ./spef/caravel.spef line 33446, *2821 not connected to net la_data_out_user\[12\]. +Warning: ./spef/caravel.spef line 33457, *2821 not connected to net la_data_out_user\[12\]. +Warning: ./spef/caravel.spef line 33469, *2821 not connected to net la_data_out_user\[13\]. +Warning: ./spef/caravel.spef line 33489, *2821 not connected to net la_data_out_user\[13\]. +Warning: ./spef/caravel.spef line 33503, *2821 not connected to net la_data_out_user\[14\]. +Warning: ./spef/caravel.spef line 33513, *2821 not connected to net la_data_out_user\[14\]. +Warning: ./spef/caravel.spef line 33525, *2821 not connected to net la_data_out_user\[15\]. +Warning: ./spef/caravel.spef line 33539, *2821 not connected to net la_data_out_user\[15\]. +Warning: ./spef/caravel.spef line 33551, *2821 not connected to net la_data_out_user\[16\]. +Warning: ./spef/caravel.spef line 33562, *2821 not connected to net la_data_out_user\[16\]. +Warning: ./spef/caravel.spef line 33573, *2821 not connected to net la_data_out_user\[17\]. +Warning: ./spef/caravel.spef line 33584, *2821 not connected to net la_data_out_user\[17\]. +Warning: ./spef/caravel.spef line 33595, *2821 not connected to net la_data_out_user\[18\]. +Warning: ./spef/caravel.spef line 33608, *2821 not connected to net la_data_out_user\[18\]. +Warning: ./spef/caravel.spef line 33619, *2821 not connected to net la_data_out_user\[19\]. +Warning: ./spef/caravel.spef line 33634, *2821 not connected to net la_data_out_user\[19\]. +Warning: ./spef/caravel.spef line 33645, *2821 not connected to net la_data_out_user\[1\]. +Warning: ./spef/caravel.spef line 33666, *2821 not connected to net la_data_out_user\[1\]. +Warning: ./spef/caravel.spef line 33678, *2821 not connected to net la_data_out_user\[20\]. +Warning: ./spef/caravel.spef line 33688, *2821 not connected to net la_data_out_user\[20\]. +Warning: ./spef/caravel.spef line 33699, *2821 not connected to net la_data_out_user\[21\]. +Warning: ./spef/caravel.spef line 33709, *2821 not connected to net la_data_out_user\[21\]. +Warning: ./spef/caravel.spef line 33720, *2821 not connected to net la_data_out_user\[22\]. +Warning: ./spef/caravel.spef line 33727, *2821 not connected to net la_data_out_user\[22\]. +Warning: ./spef/caravel.spef line 33737, *2821 not connected to net la_data_out_user\[23\]. +Warning: ./spef/caravel.spef line 33748, *2821 not connected to net la_data_out_user\[23\]. +Warning: ./spef/caravel.spef line 33759, *2821 not connected to net la_data_out_user\[24\]. +Warning: ./spef/caravel.spef line 33772, *2821 not connected to net la_data_out_user\[24\]. +Warning: ./spef/caravel.spef line 33783, *2821 not connected to net la_data_out_user\[25\]. +Warning: ./spef/caravel.spef line 33796, *2821 not connected to net la_data_out_user\[25\]. +Warning: ./spef/caravel.spef line 33807, *2821 not connected to net la_data_out_user\[26\]. +Warning: ./spef/caravel.spef line 33820, *2821 not connected to net la_data_out_user\[26\]. +Warning: ./spef/caravel.spef line 33832, *2821 not connected to net la_data_out_user\[27\]. +Warning: ./spef/caravel.spef line 33847, *2821 not connected to net la_data_out_user\[27\]. +Warning: ./spef/caravel.spef line 33859, *2821 not connected to net la_data_out_user\[28\]. +Warning: ./spef/caravel.spef line 33884, *2821 not connected to net la_data_out_user\[28\]. +Warning: ./spef/caravel.spef line 33897, *2821 not connected to net la_data_out_user\[29\]. +Warning: ./spef/caravel.spef line 33921, *2821 not connected to net la_data_out_user\[29\]. +Warning: ./spef/caravel.spef line 33934, *2821 not connected to net la_data_out_user\[2\]. +Warning: ./spef/caravel.spef line 33949, *2821 not connected to net la_data_out_user\[2\]. +Warning: ./spef/caravel.spef line 33961, *2821 not connected to net la_data_out_user\[30\]. +Warning: ./spef/caravel.spef line 33975, *2821 not connected to net la_data_out_user\[30\]. +Warning: ./spef/caravel.spef line 33987, *2821 not connected to net la_data_out_user\[31\]. +Warning: ./spef/caravel.spef line 34004, *2821 not connected to net la_data_out_user\[31\]. +Warning: ./spef/caravel.spef line 34016, *2821 not connected to net la_data_out_user\[32\]. +Warning: ./spef/caravel.spef line 34036, *2821 not connected to net la_data_out_user\[32\]. +Warning: ./spef/caravel.spef line 34048, *2821 not connected to net la_data_out_user\[33\]. +Warning: ./spef/caravel.spef line 34063, *2821 not connected to net la_data_out_user\[33\]. +Warning: ./spef/caravel.spef line 34075, *2821 not connected to net la_data_out_user\[34\]. +Warning: ./spef/caravel.spef line 34093, *2821 not connected to net la_data_out_user\[34\]. +Warning: ./spef/caravel.spef line 34105, *2821 not connected to net la_data_out_user\[35\]. +Warning: ./spef/caravel.spef line 34116, *2821 not connected to net la_data_out_user\[35\]. +Warning: ./spef/caravel.spef line 34127, *2821 not connected to net la_data_out_user\[36\]. +Warning: ./spef/caravel.spef line 34140, *2821 not connected to net la_data_out_user\[36\]. +Warning: ./spef/caravel.spef line 34151, *2821 not connected to net la_data_out_user\[37\]. +Warning: ./spef/caravel.spef line 34167, *2821 not connected to net la_data_out_user\[37\]. +Warning: ./spef/caravel.spef line 34179, *2821 not connected to net la_data_out_user\[38\]. +Warning: ./spef/caravel.spef line 34196, *2821 not connected to net la_data_out_user\[38\]. +Warning: ./spef/caravel.spef line 34208, *2821 not connected to net la_data_out_user\[39\]. +Warning: ./spef/caravel.spef line 34220, *2821 not connected to net la_data_out_user\[39\]. +Warning: ./spef/caravel.spef line 34232, *2821 not connected to net la_data_out_user\[3\]. +Warning: ./spef/caravel.spef line 34251, *2821 not connected to net la_data_out_user\[3\]. +Warning: ./spef/caravel.spef line 34263, *2821 not connected to net la_data_out_user\[40\]. +Warning: ./spef/caravel.spef line 34279, *2821 not connected to net la_data_out_user\[40\]. +Warning: ./spef/caravel.spef line 34291, *2821 not connected to net la_data_out_user\[41\]. +Warning: ./spef/caravel.spef line 34308, *2821 not connected to net la_data_out_user\[41\]. +Warning: ./spef/caravel.spef line 34320, *2821 not connected to net la_data_out_user\[42\]. +Warning: ./spef/caravel.spef line 34334, *2821 not connected to net la_data_out_user\[42\]. +Warning: ./spef/caravel.spef line 34346, *2821 not connected to net la_data_out_user\[43\]. +Warning: ./spef/caravel.spef line 34368, *2821 not connected to net la_data_out_user\[43\]. +Warning: ./spef/caravel.spef line 34380, *2821 not connected to net la_data_out_user\[44\]. +Warning: ./spef/caravel.spef line 34398, *2821 not connected to net la_data_out_user\[44\]. +Warning: ./spef/caravel.spef line 34411, *2821 not connected to net la_data_out_user\[45\]. +Warning: ./spef/caravel.spef line 34425, *2821 not connected to net la_data_out_user\[45\]. +Warning: ./spef/caravel.spef line 34437, *2821 not connected to net la_data_out_user\[46\]. +Warning: ./spef/caravel.spef line 34456, *2821 not connected to net la_data_out_user\[46\]. +Warning: ./spef/caravel.spef line 34468, *2821 not connected to net la_data_out_user\[47\]. +Warning: ./spef/caravel.spef line 34485, *2821 not connected to net la_data_out_user\[47\]. +Warning: ./spef/caravel.spef line 34497, *2821 not connected to net la_data_out_user\[48\]. +Warning: ./spef/caravel.spef line 34534, *2821 not connected to net la_data_out_user\[48\]. +Warning: ./spef/caravel.spef line 34547, *2821 not connected to net la_data_out_user\[49\]. +Warning: ./spef/caravel.spef line 34570, *2821 not connected to net la_data_out_user\[49\]. +Warning: ./spef/caravel.spef line 34582, *2821 not connected to net la_data_out_user\[4\]. +Warning: ./spef/caravel.spef line 34598, *2821 not connected to net la_data_out_user\[4\]. +Warning: ./spef/caravel.spef line 34610, *2821 not connected to net la_data_out_user\[50\]. +Warning: ./spef/caravel.spef line 34626, *2821 not connected to net la_data_out_user\[50\]. +Warning: ./spef/caravel.spef line 34638, *2821 not connected to net la_data_out_user\[51\]. +Warning: ./spef/caravel.spef line 34656, *2821 not connected to net la_data_out_user\[51\]. +Warning: ./spef/caravel.spef line 34668, *2821 not connected to net la_data_out_user\[52\]. +Warning: ./spef/caravel.spef line 34683, *2821 not connected to net la_data_out_user\[52\]. +Warning: ./spef/caravel.spef line 34695, *2821 not connected to net la_data_out_user\[53\]. +Warning: ./spef/caravel.spef line 34710, *2821 not connected to net la_data_out_user\[53\]. +Warning: ./spef/caravel.spef line 34722, *2821 not connected to net la_data_out_user\[54\]. +Warning: ./spef/caravel.spef line 34739, *2821 not connected to net la_data_out_user\[54\]. +Warning: ./spef/caravel.spef line 34751, *2821 not connected to net la_data_out_user\[55\]. +Warning: ./spef/caravel.spef line 34766, *2821 not connected to net la_data_out_user\[55\]. +Warning: ./spef/caravel.spef line 34778, *2821 not connected to net la_data_out_user\[56\]. +Warning: ./spef/caravel.spef line 34793, *2821 not connected to net la_data_out_user\[56\]. +Warning: ./spef/caravel.spef line 34805, *2821 not connected to net la_data_out_user\[57\]. +Warning: ./spef/caravel.spef line 34833, *2821 not connected to net la_data_out_user\[57\]. +Warning: ./spef/caravel.spef line 34848, *2821 not connected to net la_data_out_user\[58\]. +Warning: ./spef/caravel.spef line 34869, *2821 not connected to net la_data_out_user\[58\]. +Warning: ./spef/caravel.spef line 34883, *2821 not connected to net la_data_out_user\[59\]. +Warning: ./spef/caravel.spef line 34904, *2821 not connected to net la_data_out_user\[59\]. +Warning: ./spef/caravel.spef line 34917, *2821 not connected to net la_data_out_user\[5\]. +Warning: ./spef/caravel.spef line 34934, *2821 not connected to net la_data_out_user\[5\]. +Warning: ./spef/caravel.spef line 34946, *2821 not connected to net la_data_out_user\[60\]. +Warning: ./spef/caravel.spef line 34962, *2821 not connected to net la_data_out_user\[60\]. +Warning: ./spef/caravel.spef line 34974, *2821 not connected to net la_data_out_user\[61\]. +Warning: ./spef/caravel.spef line 34990, *2821 not connected to net la_data_out_user\[61\]. +Warning: ./spef/caravel.spef line 35002, *2821 not connected to net la_data_out_user\[62\]. +Warning: ./spef/caravel.spef line 35015, *2821 not connected to net la_data_out_user\[62\]. +Warning: ./spef/caravel.spef line 35027, *2821 not connected to net la_data_out_user\[63\]. +Warning: ./spef/caravel.spef line 35039, *2821 not connected to net la_data_out_user\[63\]. +Warning: ./spef/caravel.spef line 35051, *2821 not connected to net la_data_out_user\[64\]. +Warning: ./spef/caravel.spef line 35065, *2821 not connected to net la_data_out_user\[64\]. +Warning: ./spef/caravel.spef line 35077, *2821 not connected to net la_data_out_user\[65\]. +Warning: ./spef/caravel.spef line 35103, *2821 not connected to net la_data_out_user\[65\]. +Warning: ./spef/caravel.spef line 35118, *2821 not connected to net la_data_out_user\[66\]. +Warning: ./spef/caravel.spef line 35135, *2821 not connected to net la_data_out_user\[66\]. +Warning: ./spef/caravel.spef line 35148, *2821 not connected to net la_data_out_user\[67\]. +Warning: ./spef/caravel.spef line 35163, *2821 not connected to net la_data_out_user\[67\]. +Warning: ./spef/caravel.spef line 35175, *2821 not connected to net la_data_out_user\[68\]. +Warning: ./spef/caravel.spef line 35193, *2821 not connected to net la_data_out_user\[68\]. +Warning: ./spef/caravel.spef line 35205, *2821 not connected to net la_data_out_user\[69\]. +Warning: ./spef/caravel.spef line 35221, *2821 not connected to net la_data_out_user\[69\]. +Warning: ./spef/caravel.spef line 35233, *2821 not connected to net la_data_out_user\[6\]. +Warning: ./spef/caravel.spef line 35250, *2821 not connected to net la_data_out_user\[6\]. +Warning: ./spef/caravel.spef line 35262, *2821 not connected to net la_data_out_user\[70\]. +Warning: ./spef/caravel.spef line 35274, *2821 not connected to net la_data_out_user\[70\]. +Warning: ./spef/caravel.spef line 35286, *2821 not connected to net la_data_out_user\[71\]. +Warning: ./spef/caravel.spef line 35312, *2821 not connected to net la_data_out_user\[71\]. +Warning: ./spef/caravel.spef line 35327, *2821 not connected to net la_data_out_user\[72\]. +Warning: ./spef/caravel.spef line 35339, *2821 not connected to net la_data_out_user\[72\]. +Warning: ./spef/caravel.spef line 35351, *2821 not connected to net la_data_out_user\[73\]. +Warning: ./spef/caravel.spef line 35366, *2821 not connected to net la_data_out_user\[73\]. +Warning: ./spef/caravel.spef line 35377, *2821 not connected to net la_data_out_user\[74\]. +Warning: ./spef/caravel.spef line 35390, *2821 not connected to net la_data_out_user\[74\]. +Warning: ./spef/caravel.spef line 35402, *2821 not connected to net la_data_out_user\[75\]. +Warning: ./spef/caravel.spef line 35424, *2821 not connected to net la_data_out_user\[75\]. +Warning: ./spef/caravel.spef line 35437, *2821 not connected to net la_data_out_user\[76\]. +Warning: ./spef/caravel.spef line 35450, *2821 not connected to net la_data_out_user\[76\]. +Warning: ./spef/caravel.spef line 35462, *2821 not connected to net la_data_out_user\[77\]. +Warning: ./spef/caravel.spef line 35477, *2821 not connected to net la_data_out_user\[77\]. +Warning: ./spef/caravel.spef line 35488, *2821 not connected to net la_data_out_user\[78\]. +Warning: ./spef/caravel.spef line 35500, *2821 not connected to net la_data_out_user\[78\]. +Warning: ./spef/caravel.spef line 35512, *2821 not connected to net la_data_out_user\[79\]. +Warning: ./spef/caravel.spef line 35528, *2821 not connected to net la_data_out_user\[79\]. +Warning: ./spef/caravel.spef line 35541, *2821 not connected to net la_data_out_user\[7\]. +Warning: ./spef/caravel.spef line 35559, *2821 not connected to net la_data_out_user\[7\]. +Warning: ./spef/caravel.spef line 35571, *2821 not connected to net la_data_out_user\[80\]. +Warning: ./spef/caravel.spef line 35594, *2821 not connected to net la_data_out_user\[80\]. +Warning: ./spef/caravel.spef line 35605, *2821 not connected to net la_data_out_user\[81\]. +Warning: ./spef/caravel.spef line 35617, *2821 not connected to net la_data_out_user\[81\]. +Warning: ./spef/caravel.spef line 35628, *2821 not connected to net la_data_out_user\[82\]. +Warning: ./spef/caravel.spef line 35641, *2821 not connected to net la_data_out_user\[82\]. +Warning: ./spef/caravel.spef line 35653, *2821 not connected to net la_data_out_user\[83\]. +Warning: ./spef/caravel.spef line 35666, *2821 not connected to net la_data_out_user\[83\]. +Warning: ./spef/caravel.spef line 35678, *2821 not connected to net la_data_out_user\[84\]. +Warning: ./spef/caravel.spef line 35690, *2821 not connected to net la_data_out_user\[84\]. +Warning: ./spef/caravel.spef line 35702, *2821 not connected to net la_data_out_user\[85\]. +Warning: ./spef/caravel.spef line 35716, *2821 not connected to net la_data_out_user\[85\]. +Warning: ./spef/caravel.spef line 35728, *2821 not connected to net la_data_out_user\[86\]. +Warning: ./spef/caravel.spef line 35748, *2821 not connected to net la_data_out_user\[86\]. +Warning: ./spef/caravel.spef line 35762, *2821 not connected to net la_data_out_user\[87\]. +Warning: ./spef/caravel.spef line 35777, *2821 not connected to net la_data_out_user\[87\]. +Warning: ./spef/caravel.spef line 35789, *2821 not connected to net la_data_out_user\[88\]. +Warning: ./spef/caravel.spef line 35812, *2821 not connected to net la_data_out_user\[88\]. +Warning: ./spef/caravel.spef line 35825, *2821 not connected to net la_data_out_user\[89\]. +Warning: ./spef/caravel.spef line 35841, *2821 not connected to net la_data_out_user\[89\]. +Warning: ./spef/caravel.spef line 35853, *2821 not connected to net la_data_out_user\[8\]. +Warning: ./spef/caravel.spef line 35869, *2821 not connected to net la_data_out_user\[8\]. +Warning: ./spef/caravel.spef line 35881, *2821 not connected to net la_data_out_user\[90\]. +Warning: ./spef/caravel.spef line 35900, *2821 not connected to net la_data_out_user\[90\]. +Warning: ./spef/caravel.spef line 35913, *2821 not connected to net la_data_out_user\[91\]. +Warning: ./spef/caravel.spef line 35931, *2821 not connected to net la_data_out_user\[91\]. +Warning: ./spef/caravel.spef line 35945, *2821 not connected to net la_data_out_user\[92\]. +Warning: ./spef/caravel.spef line 35963, *2821 not connected to net la_data_out_user\[92\]. +Warning: ./spef/caravel.spef line 35976, *2821 not connected to net la_data_out_user\[93\]. +Warning: ./spef/caravel.spef line 35999, *2821 not connected to net la_data_out_user\[93\]. +Warning: ./spef/caravel.spef line 36011, *2821 not connected to net la_data_out_user\[94\]. +Warning: ./spef/caravel.spef line 36035, *2821 not connected to net la_data_out_user\[94\]. +Warning: ./spef/caravel.spef line 36046, *2821 not connected to net la_data_out_user\[95\]. +Warning: ./spef/caravel.spef line 36069, *2821 not connected to net la_data_out_user\[95\]. +Warning: ./spef/caravel.spef line 36080, *2821 not connected to net la_data_out_user\[96\]. +Warning: ./spef/caravel.spef line 36096, *2821 not connected to net la_data_out_user\[96\]. +Warning: ./spef/caravel.spef line 36108, *2821 not connected to net la_data_out_user\[97\]. +Warning: ./spef/caravel.spef line 36120, *2821 not connected to net la_data_out_user\[97\]. +Warning: ./spef/caravel.spef line 36131, *2821 not connected to net la_data_out_user\[98\]. +Warning: ./spef/caravel.spef line 36146, *2821 not connected to net la_data_out_user\[98\]. +Warning: ./spef/caravel.spef line 36159, *2821 not connected to net la_data_out_user\[99\]. +Warning: ./spef/caravel.spef line 36174, *2821 not connected to net la_data_out_user\[99\]. +Warning: ./spef/caravel.spef line 36185, *2821 not connected to net la_data_out_user\[9\]. +Warning: ./spef/caravel.spef line 36197, *2821 not connected to net la_data_out_user\[9\]. +Warning: ./spef/caravel.spef line 44480, *2821 not connected to net la_oenb_user\[0\]. +Warning: ./spef/caravel.spef line 44504, *2821 not connected to net la_oenb_user\[0\]. +Warning: ./spef/caravel.spef line 44512, *2821 not connected to net la_oenb_user\[100\]. +Warning: ./spef/caravel.spef line 44538, *2821 not connected to net la_oenb_user\[100\]. +Warning: ./spef/caravel.spef line 44546, *2821 not connected to net la_oenb_user\[101\]. +Warning: ./spef/caravel.spef line 44577, *2821 not connected to net la_oenb_user\[101\]. +Warning: ./spef/caravel.spef line 44585, *2821 not connected to net la_oenb_user\[102\]. +Warning: ./spef/caravel.spef line 44605, *2821 not connected to net la_oenb_user\[102\]. +Warning: ./spef/caravel.spef line 44613, *2821 not connected to net la_oenb_user\[103\]. +Warning: ./spef/caravel.spef line 44633, *2821 not connected to net la_oenb_user\[103\]. +Warning: ./spef/caravel.spef line 44641, *2821 not connected to net la_oenb_user\[104\]. +Warning: ./spef/caravel.spef line 44661, *2821 not connected to net la_oenb_user\[104\]. +Warning: ./spef/caravel.spef line 44669, *2821 not connected to net la_oenb_user\[105\]. +Warning: ./spef/caravel.spef line 44688, *2821 not connected to net la_oenb_user\[105\]. +Warning: ./spef/caravel.spef line 44696, *2821 not connected to net la_oenb_user\[106\]. +Warning: ./spef/caravel.spef line 44715, *2821 not connected to net la_oenb_user\[106\]. +Warning: ./spef/caravel.spef line 44723, *2821 not connected to net la_oenb_user\[107\]. +Warning: ./spef/caravel.spef line 44746, *2821 not connected to net la_oenb_user\[107\]. +Warning: ./spef/caravel.spef line 44754, *2821 not connected to net la_oenb_user\[108\]. +Warning: ./spef/caravel.spef line 44773, *2821 not connected to net la_oenb_user\[108\]. +Warning: ./spef/caravel.spef line 44781, *2821 not connected to net la_oenb_user\[109\]. +Warning: ./spef/caravel.spef line 44799, *2821 not connected to net la_oenb_user\[109\]. +Warning: ./spef/caravel.spef line 44807, *2821 not connected to net la_oenb_user\[10\]. +Warning: ./spef/caravel.spef line 44825, *2821 not connected to net la_oenb_user\[10\]. +Warning: ./spef/caravel.spef line 44833, *2821 not connected to net la_oenb_user\[110\]. +Warning: ./spef/caravel.spef line 44852, *2821 not connected to net la_oenb_user\[110\]. +Warning: ./spef/caravel.spef line 44860, *2821 not connected to net la_oenb_user\[111\]. +Warning: ./spef/caravel.spef line 44879, *2821 not connected to net la_oenb_user\[111\]. +Warning: ./spef/caravel.spef line 44887, *2821 not connected to net la_oenb_user\[112\]. +Warning: ./spef/caravel.spef line 44908, *2821 not connected to net la_oenb_user\[112\]. +Warning: ./spef/caravel.spef line 44916, *2821 not connected to net la_oenb_user\[113\]. +Warning: ./spef/caravel.spef line 44934, *2821 not connected to net la_oenb_user\[113\]. +Warning: ./spef/caravel.spef line 44942, *2821 not connected to net la_oenb_user\[114\]. +Warning: ./spef/caravel.spef line 44962, *2821 not connected to net la_oenb_user\[114\]. +Warning: ./spef/caravel.spef line 44970, *2821 not connected to net la_oenb_user\[115\]. +Warning: ./spef/caravel.spef line 44986, *2821 not connected to net la_oenb_user\[115\]. +Warning: ./spef/caravel.spef line 44994, *2821 not connected to net la_oenb_user\[116\]. +Warning: ./spef/caravel.spef line 45018, *2821 not connected to net la_oenb_user\[116\]. +Warning: ./spef/caravel.spef line 45026, *2821 not connected to net la_oenb_user\[117\]. +Warning: ./spef/caravel.spef line 45043, *2821 not connected to net la_oenb_user\[117\]. +Warning: ./spef/caravel.spef line 45051, *2821 not connected to net la_oenb_user\[118\]. +Warning: ./spef/caravel.spef line 45081, *2821 not connected to net la_oenb_user\[118\]. +Warning: ./spef/caravel.spef line 45089, *2821 not connected to net la_oenb_user\[119\]. +Warning: ./spef/caravel.spef line 45105, *2821 not connected to net la_oenb_user\[119\]. +Warning: ./spef/caravel.spef line 45113, *2821 not connected to net la_oenb_user\[11\]. +Warning: ./spef/caravel.spef line 45128, *2821 not connected to net la_oenb_user\[11\]. +Warning: ./spef/caravel.spef line 45136, *2821 not connected to net la_oenb_user\[120\]. +Warning: ./spef/caravel.spef line 45156, *2821 not connected to net la_oenb_user\[120\]. +Warning: ./spef/caravel.spef line 45164, *2821 not connected to net la_oenb_user\[121\]. +Warning: ./spef/caravel.spef line 45180, *2821 not connected to net la_oenb_user\[121\]. +Warning: ./spef/caravel.spef line 45188, *2821 not connected to net la_oenb_user\[122\]. +Warning: ./spef/caravel.spef line 45206, *2821 not connected to net la_oenb_user\[122\]. +Warning: ./spef/caravel.spef line 45214, *2821 not connected to net la_oenb_user\[123\]. +Warning: ./spef/caravel.spef line 45232, *2821 not connected to net la_oenb_user\[123\]. +Warning: ./spef/caravel.spef line 45240, *2821 not connected to net la_oenb_user\[124\]. +Warning: ./spef/caravel.spef line 45260, *2821 not connected to net la_oenb_user\[124\]. +Warning: ./spef/caravel.spef line 45268, *2821 not connected to net la_oenb_user\[125\]. +Warning: ./spef/caravel.spef line 45285, *2821 not connected to net la_oenb_user\[125\]. +Warning: ./spef/caravel.spef line 45293, *2821 not connected to net la_oenb_user\[126\]. +Warning: ./spef/caravel.spef line 45313, *2821 not connected to net la_oenb_user\[126\]. +Warning: ./spef/caravel.spef line 45321, *2821 not connected to net la_oenb_user\[127\]. +Warning: ./spef/caravel.spef line 45337, *2821 not connected to net la_oenb_user\[127\]. +Warning: ./spef/caravel.spef line 45345, *2821 not connected to net la_oenb_user\[12\]. +Warning: ./spef/caravel.spef line 45361, *2821 not connected to net la_oenb_user\[12\]. +Warning: ./spef/caravel.spef line 45369, *2821 not connected to net la_oenb_user\[13\]. +Warning: ./spef/caravel.spef line 45387, *2821 not connected to net la_oenb_user\[13\]. +Warning: ./spef/caravel.spef line 45395, *2821 not connected to net la_oenb_user\[14\]. +Warning: ./spef/caravel.spef line 45413, *2821 not connected to net la_oenb_user\[14\]. +Warning: ./spef/caravel.spef line 45421, *2821 not connected to net la_oenb_user\[15\]. +Warning: ./spef/caravel.spef line 45435, *2821 not connected to net la_oenb_user\[15\]. +Warning: ./spef/caravel.spef line 45443, *2821 not connected to net la_oenb_user\[16\]. +Warning: ./spef/caravel.spef line 45458, *2821 not connected to net la_oenb_user\[16\]. +Warning: ./spef/caravel.spef line 45466, *2821 not connected to net la_oenb_user\[17\]. +Warning: ./spef/caravel.spef line 45482, *2821 not connected to net la_oenb_user\[17\]. +Warning: ./spef/caravel.spef line 45490, *2821 not connected to net la_oenb_user\[18\]. +Warning: ./spef/caravel.spef line 45506, *2821 not connected to net la_oenb_user\[18\]. +Warning: ./spef/caravel.spef line 45514, *2821 not connected to net la_oenb_user\[19\]. +Warning: ./spef/caravel.spef line 45527, *2821 not connected to net la_oenb_user\[19\]. +Warning: ./spef/caravel.spef line 45535, *2821 not connected to net la_oenb_user\[1\]. +Warning: ./spef/caravel.spef line 45562, *2821 not connected to net la_oenb_user\[1\]. +Warning: ./spef/caravel.spef line 45570, *2821 not connected to net la_oenb_user\[20\]. +Warning: ./spef/caravel.spef line 45583, *2821 not connected to net la_oenb_user\[20\]. +Warning: ./spef/caravel.spef line 45591, *2821 not connected to net la_oenb_user\[21\]. +Warning: ./spef/caravel.spef line 45598, *2821 not connected to net la_oenb_user\[21\]. +Warning: ./spef/caravel.spef line 45606, *2821 not connected to net la_oenb_user\[22\]. +Warning: ./spef/caravel.spef line 45619, *2821 not connected to net la_oenb_user\[22\]. +Warning: ./spef/caravel.spef line 45627, *2821 not connected to net la_oenb_user\[23\]. +Warning: ./spef/caravel.spef line 45642, *2821 not connected to net la_oenb_user\[23\]. +Warning: ./spef/caravel.spef line 45650, *2821 not connected to net la_oenb_user\[24\]. +Warning: ./spef/caravel.spef line 45666, *2821 not connected to net la_oenb_user\[24\]. +Warning: ./spef/caravel.spef line 45674, *2821 not connected to net la_oenb_user\[25\]. +Warning: ./spef/caravel.spef line 45694, *2821 not connected to net la_oenb_user\[25\]. +Warning: ./spef/caravel.spef line 45702, *2821 not connected to net la_oenb_user\[26\]. +Warning: ./spef/caravel.spef line 45719, *2821 not connected to net la_oenb_user\[26\]. +Warning: ./spef/caravel.spef line 45727, *2821 not connected to net la_oenb_user\[27\]. +Warning: ./spef/caravel.spef line 45741, *2821 not connected to net la_oenb_user\[27\]. +Warning: ./spef/caravel.spef line 45749, *2821 not connected to net la_oenb_user\[28\]. +Warning: ./spef/caravel.spef line 45774, *2821 not connected to net la_oenb_user\[28\]. +Warning: ./spef/caravel.spef line 45782, *2821 not connected to net la_oenb_user\[29\]. +Warning: ./spef/caravel.spef line 45807, *2821 not connected to net la_oenb_user\[29\]. +Warning: ./spef/caravel.spef line 45815, *2821 not connected to net la_oenb_user\[2\]. +Warning: ./spef/caravel.spef line 45834, *2821 not connected to net la_oenb_user\[2\]. +Warning: ./spef/caravel.spef line 45842, *2821 not connected to net la_oenb_user\[30\]. +Warning: ./spef/caravel.spef line 45865, *2821 not connected to net la_oenb_user\[30\]. +Warning: ./spef/caravel.spef line 45873, *2821 not connected to net la_oenb_user\[31\]. +Warning: ./spef/caravel.spef line 45894, *2821 not connected to net la_oenb_user\[31\]. +Warning: ./spef/caravel.spef line 45902, *2821 not connected to net la_oenb_user\[32\]. +Warning: ./spef/caravel.spef line 45921, *2821 not connected to net la_oenb_user\[32\]. +Warning: ./spef/caravel.spef line 45929, *2821 not connected to net la_oenb_user\[33\]. +Warning: ./spef/caravel.spef line 45945, *2821 not connected to net la_oenb_user\[33\]. +Warning: ./spef/caravel.spef line 45953, *2821 not connected to net la_oenb_user\[34\]. +Warning: ./spef/caravel.spef line 45968, *2821 not connected to net la_oenb_user\[34\]. +Warning: ./spef/caravel.spef line 45976, *2821 not connected to net la_oenb_user\[35\]. +Warning: ./spef/caravel.spef line 45991, *2821 not connected to net la_oenb_user\[35\]. +Warning: ./spef/caravel.spef line 45999, *2821 not connected to net la_oenb_user\[36\]. +Warning: ./spef/caravel.spef line 46015, *2821 not connected to net la_oenb_user\[36\]. +Warning: ./spef/caravel.spef line 46023, *2821 not connected to net la_oenb_user\[37\]. +Warning: ./spef/caravel.spef line 46037, *2821 not connected to net la_oenb_user\[37\]. +Warning: ./spef/caravel.spef line 46045, *2821 not connected to net la_oenb_user\[38\]. +Warning: ./spef/caravel.spef line 46062, *2821 not connected to net la_oenb_user\[38\]. +Warning: ./spef/caravel.spef line 46070, *2821 not connected to net la_oenb_user\[39\]. +Warning: ./spef/caravel.spef line 46090, *2821 not connected to net la_oenb_user\[39\]. +Warning: ./spef/caravel.spef line 46098, *2821 not connected to net la_oenb_user\[3\]. +Warning: ./spef/caravel.spef line 46118, *2821 not connected to net la_oenb_user\[3\]. +Warning: ./spef/caravel.spef line 46126, *2821 not connected to net la_oenb_user\[40\]. +Warning: ./spef/caravel.spef line 46145, *2821 not connected to net la_oenb_user\[40\]. +Warning: ./spef/caravel.spef line 46153, *2821 not connected to net la_oenb_user\[41\]. +Warning: ./spef/caravel.spef line 46172, *2821 not connected to net la_oenb_user\[41\]. +Warning: ./spef/caravel.spef line 46180, *2821 not connected to net la_oenb_user\[42\]. +Warning: ./spef/caravel.spef line 46201, *2821 not connected to net la_oenb_user\[42\]. +Warning: ./spef/caravel.spef line 46209, *2821 not connected to net la_oenb_user\[43\]. +Warning: ./spef/caravel.spef line 46234, *2821 not connected to net la_oenb_user\[43\]. +Warning: ./spef/caravel.spef line 46242, *2821 not connected to net la_oenb_user\[44\]. +Warning: ./spef/caravel.spef line 46269, *2821 not connected to net la_oenb_user\[44\]. +Warning: ./spef/caravel.spef line 46277, *2821 not connected to net la_oenb_user\[45\]. +Warning: ./spef/caravel.spef line 46298, *2821 not connected to net la_oenb_user\[45\]. +Warning: ./spef/caravel.spef line 46306, *2821 not connected to net la_oenb_user\[46\]. +Warning: ./spef/caravel.spef line 46325, *2821 not connected to net la_oenb_user\[46\]. +Warning: ./spef/caravel.spef line 46333, *2821 not connected to net la_oenb_user\[47\]. +Warning: ./spef/caravel.spef line 46354, *2821 not connected to net la_oenb_user\[47\]. +Warning: ./spef/caravel.spef line 46362, *2821 not connected to net la_oenb_user\[48\]. +Warning: ./spef/caravel.spef line 46394, *2821 not connected to net la_oenb_user\[48\]. +Warning: ./spef/caravel.spef line 46402, *2821 not connected to net la_oenb_user\[49\]. +Warning: ./spef/caravel.spef line 46424, *2821 not connected to net la_oenb_user\[49\]. +Warning: ./spef/caravel.spef line 46432, *2821 not connected to net la_oenb_user\[4\]. +Warning: ./spef/caravel.spef line 46454, *2821 not connected to net la_oenb_user\[4\]. +Warning: ./spef/caravel.spef line 46462, *2821 not connected to net la_oenb_user\[50\]. +Warning: ./spef/caravel.spef line 46483, *2821 not connected to net la_oenb_user\[50\]. +Warning: ./spef/caravel.spef line 46491, *2821 not connected to net la_oenb_user\[51\]. +Warning: ./spef/caravel.spef line 46512, *2821 not connected to net la_oenb_user\[51\]. +Warning: ./spef/caravel.spef line 46520, *2821 not connected to net la_oenb_user\[52\]. +Warning: ./spef/caravel.spef line 46548, *2821 not connected to net la_oenb_user\[52\]. +Warning: ./spef/caravel.spef line 46556, *2821 not connected to net la_oenb_user\[53\]. +Warning: ./spef/caravel.spef line 46575, *2821 not connected to net la_oenb_user\[53\]. +Warning: ./spef/caravel.spef line 46583, *2821 not connected to net la_oenb_user\[54\]. +Warning: ./spef/caravel.spef line 46622, *2821 not connected to net la_oenb_user\[54\]. +Warning: ./spef/caravel.spef line 46630, *2821 not connected to net la_oenb_user\[55\]. +Warning: ./spef/caravel.spef line 46653, *2821 not connected to net la_oenb_user\[55\]. +Warning: ./spef/caravel.spef line 46661, *2821 not connected to net la_oenb_user\[56\]. +Warning: ./spef/caravel.spef line 46679, *2821 not connected to net la_oenb_user\[56\]. +Warning: ./spef/caravel.spef line 46687, *2821 not connected to net la_oenb_user\[57\]. +Warning: ./spef/caravel.spef line 46715, *2821 not connected to net la_oenb_user\[57\]. +Warning: ./spef/caravel.spef line 46723, *2821 not connected to net la_oenb_user\[58\]. +Warning: ./spef/caravel.spef line 46742, *2821 not connected to net la_oenb_user\[58\]. +Warning: ./spef/caravel.spef line 46750, *2821 not connected to net la_oenb_user\[59\]. +Warning: ./spef/caravel.spef line 46779, *2821 not connected to net la_oenb_user\[59\]. +Warning: ./spef/caravel.spef line 46787, *2821 not connected to net la_oenb_user\[5\]. +Warning: ./spef/caravel.spef line 46806, *2821 not connected to net la_oenb_user\[5\]. +Warning: ./spef/caravel.spef line 46814, *2821 not connected to net la_oenb_user\[60\]. +Warning: ./spef/caravel.spef line 46834, *2821 not connected to net la_oenb_user\[60\]. +Warning: ./spef/caravel.spef line 46842, *2821 not connected to net la_oenb_user\[61\]. +Warning: ./spef/caravel.spef line 46876, *2821 not connected to net la_oenb_user\[61\]. +Warning: ./spef/caravel.spef line 46884, *2821 not connected to net la_oenb_user\[62\]. +Warning: ./spef/caravel.spef line 46909, *2821 not connected to net la_oenb_user\[62\]. +Warning: ./spef/caravel.spef line 46917, *2821 not connected to net la_oenb_user\[63\]. +Warning: ./spef/caravel.spef line 46950, *2821 not connected to net la_oenb_user\[63\]. +Warning: ./spef/caravel.spef line 46958, *2821 not connected to net la_oenb_user\[64\]. +Warning: ./spef/caravel.spef line 46991, *2821 not connected to net la_oenb_user\[64\]. +Warning: ./spef/caravel.spef line 46999, *2821 not connected to net la_oenb_user\[65\]. +Warning: ./spef/caravel.spef line 47032, *2821 not connected to net la_oenb_user\[65\]. +Warning: ./spef/caravel.spef line 47040, *2821 not connected to net la_oenb_user\[66\]. +Warning: ./spef/caravel.spef line 47083, *2821 not connected to net la_oenb_user\[66\]. +Warning: ./spef/caravel.spef line 47091, *2821 not connected to net la_oenb_user\[67\]. +Warning: ./spef/caravel.spef line 47126, *2821 not connected to net la_oenb_user\[67\]. +Warning: ./spef/caravel.spef line 47134, *2821 not connected to net la_oenb_user\[68\]. +Warning: ./spef/caravel.spef line 47162, *2821 not connected to net la_oenb_user\[68\]. +Warning: ./spef/caravel.spef line 47170, *2821 not connected to net la_oenb_user\[69\]. +Warning: ./spef/caravel.spef line 47192, *2821 not connected to net la_oenb_user\[69\]. +Warning: ./spef/caravel.spef line 47200, *2821 not connected to net la_oenb_user\[6\]. +Warning: ./spef/caravel.spef line 47219, *2821 not connected to net la_oenb_user\[6\]. +Warning: ./spef/caravel.spef line 47227, *2821 not connected to net la_oenb_user\[70\]. +Warning: ./spef/caravel.spef line 47253, *2821 not connected to net la_oenb_user\[70\]. +Warning: ./spef/caravel.spef line 47261, *2821 not connected to net la_oenb_user\[71\]. +Warning: ./spef/caravel.spef line 47277, *2821 not connected to net la_oenb_user\[71\]. +Warning: ./spef/caravel.spef line 47285, *2821 not connected to net la_oenb_user\[72\]. +Warning: ./spef/caravel.spef line 47302, *2821 not connected to net la_oenb_user\[72\]. +Warning: ./spef/caravel.spef line 47310, *2821 not connected to net la_oenb_user\[73\]. +Warning: ./spef/caravel.spef line 47327, *2821 not connected to net la_oenb_user\[73\]. +Warning: ./spef/caravel.spef line 47335, *2821 not connected to net la_oenb_user\[74\]. +Warning: ./spef/caravel.spef line 47351, *2821 not connected to net la_oenb_user\[74\]. +Warning: ./spef/caravel.spef line 47359, *2821 not connected to net la_oenb_user\[75\]. +Warning: ./spef/caravel.spef line 47375, *2821 not connected to net la_oenb_user\[75\]. +Warning: ./spef/caravel.spef line 47383, *2821 not connected to net la_oenb_user\[76\]. +Warning: ./spef/caravel.spef line 47407, *2821 not connected to net la_oenb_user\[76\]. +Warning: ./spef/caravel.spef line 47415, *2821 not connected to net la_oenb_user\[77\]. +Warning: ./spef/caravel.spef line 47435, *2821 not connected to net la_oenb_user\[77\]. +Warning: ./spef/caravel.spef line 47443, *2821 not connected to net la_oenb_user\[78\]. +Warning: ./spef/caravel.spef line 47477, *2821 not connected to net la_oenb_user\[78\]. +Warning: ./spef/caravel.spef line 47485, *2821 not connected to net la_oenb_user\[79\]. +Warning: ./spef/caravel.spef line 47504, *2821 not connected to net la_oenb_user\[79\]. +Warning: ./spef/caravel.spef line 47512, *2821 not connected to net la_oenb_user\[7\]. +Warning: ./spef/caravel.spef line 47532, *2821 not connected to net la_oenb_user\[7\]. +Warning: ./spef/caravel.spef line 47540, *2821 not connected to net la_oenb_user\[80\]. +Warning: ./spef/caravel.spef line 47556, *2821 not connected to net la_oenb_user\[80\]. +Warning: ./spef/caravel.spef line 47564, *2821 not connected to net la_oenb_user\[81\]. +Warning: ./spef/caravel.spef line 47578, *2821 not connected to net la_oenb_user\[81\]. +Warning: ./spef/caravel.spef line 47586, *2821 not connected to net la_oenb_user\[82\]. +Warning: ./spef/caravel.spef line 47600, *2821 not connected to net la_oenb_user\[82\]. +Warning: ./spef/caravel.spef line 47608, *2821 not connected to net la_oenb_user\[83\]. +Warning: ./spef/caravel.spef line 47624, *2821 not connected to net la_oenb_user\[83\]. +Warning: ./spef/caravel.spef line 47632, *2821 not connected to net la_oenb_user\[84\]. +Warning: ./spef/caravel.spef line 47660, *2821 not connected to net la_oenb_user\[84\]. +Warning: ./spef/caravel.spef line 47668, *2821 not connected to net la_oenb_user\[85\]. +Warning: ./spef/caravel.spef line 47689, *2821 not connected to net la_oenb_user\[85\]. +Warning: ./spef/caravel.spef line 47697, *2821 not connected to net la_oenb_user\[86\]. +Warning: ./spef/caravel.spef line 47717, *2821 not connected to net la_oenb_user\[86\]. +Warning: ./spef/caravel.spef line 47725, *2821 not connected to net la_oenb_user\[87\]. +Warning: ./spef/caravel.spef line 47744, *2821 not connected to net la_oenb_user\[87\]. +Warning: ./spef/caravel.spef line 47752, *2821 not connected to net la_oenb_user\[88\]. +Warning: ./spef/caravel.spef line 47772, *2821 not connected to net la_oenb_user\[88\]. +Warning: ./spef/caravel.spef line 47780, *2821 not connected to net la_oenb_user\[89\]. +Warning: ./spef/caravel.spef line 47797, *2821 not connected to net la_oenb_user\[89\]. +Warning: ./spef/caravel.spef line 47805, *2821 not connected to net la_oenb_user\[8\]. +Warning: ./spef/caravel.spef line 47821, *2821 not connected to net la_oenb_user\[8\]. +Warning: ./spef/caravel.spef line 47829, *2821 not connected to net la_oenb_user\[90\]. +Warning: ./spef/caravel.spef line 47852, *2821 not connected to net la_oenb_user\[90\]. +Warning: ./spef/caravel.spef line 47860, *2821 not connected to net la_oenb_user\[91\]. +Warning: ./spef/caravel.spef line 47878, *2821 not connected to net la_oenb_user\[91\]. +Warning: ./spef/caravel.spef line 47886, *2821 not connected to net la_oenb_user\[92\]. +Warning: ./spef/caravel.spef line 47910, *2821 not connected to net la_oenb_user\[92\]. +Warning: ./spef/caravel.spef line 47918, *2821 not connected to net la_oenb_user\[93\]. +Warning: ./spef/caravel.spef line 47946, *2821 not connected to net la_oenb_user\[93\]. +Warning: ./spef/caravel.spef line 47954, *2821 not connected to net la_oenb_user\[94\]. +Warning: ./spef/caravel.spef line 47970, *2821 not connected to net la_oenb_user\[94\]. +Warning: ./spef/caravel.spef line 47978, *2821 not connected to net la_oenb_user\[95\]. +Warning: ./spef/caravel.spef line 48004, *2821 not connected to net la_oenb_user\[95\]. +Warning: ./spef/caravel.spef line 48012, *2821 not connected to net la_oenb_user\[96\]. +Warning: ./spef/caravel.spef line 48031, *2821 not connected to net la_oenb_user\[96\]. +Warning: ./spef/caravel.spef line 48039, *2821 not connected to net la_oenb_user\[97\]. +Warning: ./spef/caravel.spef line 48063, *2821 not connected to net la_oenb_user\[97\]. +Warning: ./spef/caravel.spef line 48071, *2821 not connected to net la_oenb_user\[98\]. +Warning: ./spef/caravel.spef line 48087, *2821 not connected to net la_oenb_user\[98\]. +Warning: ./spef/caravel.spef line 48095, *2821 not connected to net la_oenb_user\[99\]. +Warning: ./spef/caravel.spef line 48116, *2821 not connected to net la_oenb_user\[99\]. +Warning: ./spef/caravel.spef line 48124, *2821 not connected to net la_oenb_user\[9\]. +Warning: ./spef/caravel.spef line 48137, *2821 not connected to net la_oenb_user\[9\]. +Warning: ./spef/caravel.spef line 52753, *2821 not connected to net mprj_adr_o_user\[0\]. +Warning: ./spef/caravel.spef line 52767, *2821 not connected to net mprj_adr_o_user\[0\]. +Warning: ./spef/caravel.spef line 52775, *2821 not connected to net mprj_adr_o_user\[10\]. +Warning: ./spef/caravel.spef line 52790, *2821 not connected to net mprj_adr_o_user\[10\]. +Warning: ./spef/caravel.spef line 52798, *2821 not connected to net mprj_adr_o_user\[11\]. +Warning: ./spef/caravel.spef line 52812, *2821 not connected to net mprj_adr_o_user\[11\]. +Warning: ./spef/caravel.spef line 52820, *2821 not connected to net mprj_adr_o_user\[12\]. +Warning: ./spef/caravel.spef line 52853, *2821 not connected to net mprj_adr_o_user\[12\]. +Warning: ./spef/caravel.spef line 52861, *2821 not connected to net mprj_adr_o_user\[13\]. +Warning: ./spef/caravel.spef line 52873, *2821 not connected to net mprj_adr_o_user\[13\]. +Warning: ./spef/caravel.spef line 52881, *2821 not connected to net mprj_adr_o_user\[14\]. +Warning: ./spef/caravel.spef line 52908, *2821 not connected to net mprj_adr_o_user\[14\]. +Warning: ./spef/caravel.spef line 52916, *2821 not connected to net mprj_adr_o_user\[15\]. +Warning: ./spef/caravel.spef line 52955, *2821 not connected to net mprj_adr_o_user\[15\]. +Warning: ./spef/caravel.spef line 52963, *2821 not connected to net mprj_adr_o_user\[16\]. +Warning: ./spef/caravel.spef line 52992, *2821 not connected to net mprj_adr_o_user\[16\]. +Warning: ./spef/caravel.spef line 53000, *2821 not connected to net mprj_adr_o_user\[17\]. +Warning: ./spef/caravel.spef line 53020, *2821 not connected to net mprj_adr_o_user\[17\]. +Warning: ./spef/caravel.spef line 53028, *2821 not connected to net mprj_adr_o_user\[18\]. +Warning: ./spef/caravel.spef line 53054, *2821 not connected to net mprj_adr_o_user\[18\]. +Warning: ./spef/caravel.spef line 53062, *2821 not connected to net mprj_adr_o_user\[19\]. +Warning: ./spef/caravel.spef line 53080, *2821 not connected to net mprj_adr_o_user\[19\]. +Warning: ./spef/caravel.spef line 53088, *2821 not connected to net mprj_adr_o_user\[1\]. +Warning: ./spef/caravel.spef line 53103, *2821 not connected to net mprj_adr_o_user\[1\]. +Warning: ./spef/caravel.spef line 53111, *2821 not connected to net mprj_adr_o_user\[20\]. +Warning: ./spef/caravel.spef line 53131, *2821 not connected to net mprj_adr_o_user\[20\]. +Warning: ./spef/caravel.spef line 53139, *2821 not connected to net mprj_adr_o_user\[21\]. +Warning: ./spef/caravel.spef line 53159, *2821 not connected to net mprj_adr_o_user\[21\]. +Warning: ./spef/caravel.spef line 53167, *2821 not connected to net mprj_adr_o_user\[22\]. +Warning: ./spef/caravel.spef line 53201, *2821 not connected to net mprj_adr_o_user\[22\]. +Warning: ./spef/caravel.spef line 53209, *2821 not connected to net mprj_adr_o_user\[23\]. +Warning: ./spef/caravel.spef line 53225, *2821 not connected to net mprj_adr_o_user\[23\]. +Warning: ./spef/caravel.spef line 53233, *2821 not connected to net mprj_adr_o_user\[24\]. +Warning: ./spef/caravel.spef line 53265, *2821 not connected to net mprj_adr_o_user\[24\]. +Warning: ./spef/caravel.spef line 53273, *2821 not connected to net mprj_adr_o_user\[25\]. +Warning: ./spef/caravel.spef line 53290, *2821 not connected to net mprj_adr_o_user\[25\]. +Warning: ./spef/caravel.spef line 53298, *2821 not connected to net mprj_adr_o_user\[26\]. +Warning: ./spef/caravel.spef line 53322, *2821 not connected to net mprj_adr_o_user\[26\]. +Warning: ./spef/caravel.spef line 53330, *2821 not connected to net mprj_adr_o_user\[27\]. +Warning: ./spef/caravel.spef line 53347, *2821 not connected to net mprj_adr_o_user\[27\]. +Warning: ./spef/caravel.spef line 53355, *2821 not connected to net mprj_adr_o_user\[28\]. +Warning: ./spef/caravel.spef line 53380, *2821 not connected to net mprj_adr_o_user\[28\]. +Warning: ./spef/caravel.spef line 53388, *2821 not connected to net mprj_adr_o_user\[29\]. +Warning: ./spef/caravel.spef line 53409, *2821 not connected to net mprj_adr_o_user\[29\]. +Warning: ./spef/caravel.spef line 53417, *2821 not connected to net mprj_adr_o_user\[2\]. +Warning: ./spef/caravel.spef line 53434, *2821 not connected to net mprj_adr_o_user\[2\]. +Warning: ./spef/caravel.spef line 53442, *2821 not connected to net mprj_adr_o_user\[30\]. +Warning: ./spef/caravel.spef line 53465, *2821 not connected to net mprj_adr_o_user\[30\]. +Warning: ./spef/caravel.spef line 53473, *2821 not connected to net mprj_adr_o_user\[31\]. +Warning: ./spef/caravel.spef line 53489, *2821 not connected to net mprj_adr_o_user\[31\]. +Warning: ./spef/caravel.spef line 53497, *2821 not connected to net mprj_adr_o_user\[3\]. +Warning: ./spef/caravel.spef line 53515, *2821 not connected to net mprj_adr_o_user\[3\]. +Warning: ./spef/caravel.spef line 53523, *2821 not connected to net mprj_adr_o_user\[4\]. +Warning: ./spef/caravel.spef line 53544, *2821 not connected to net mprj_adr_o_user\[4\]. +Warning: ./spef/caravel.spef line 53552, *2821 not connected to net mprj_adr_o_user\[5\]. +Warning: ./spef/caravel.spef line 53574, *2821 not connected to net mprj_adr_o_user\[5\]. +Warning: ./spef/caravel.spef line 53582, *2821 not connected to net mprj_adr_o_user\[6\]. +Warning: ./spef/caravel.spef line 53602, *2821 not connected to net mprj_adr_o_user\[6\]. +Warning: ./spef/caravel.spef line 53610, *2821 not connected to net mprj_adr_o_user\[7\]. +Warning: ./spef/caravel.spef line 53629, *2821 not connected to net mprj_adr_o_user\[7\]. +Warning: ./spef/caravel.spef line 53637, *2821 not connected to net mprj_adr_o_user\[8\]. +Warning: ./spef/caravel.spef line 53656, *2821 not connected to net mprj_adr_o_user\[8\]. +Warning: ./spef/caravel.spef line 53664, *2821 not connected to net mprj_adr_o_user\[9\]. +Warning: ./spef/caravel.spef line 53682, *2821 not connected to net mprj_adr_o_user\[9\]. +Warning: ./spef/caravel.spef line 53740, *2819 not connected to net mprj_cyc_o_core. +Warning: ./spef/caravel.spef line 53775, *2819 not connected to net mprj_cyc_o_core. +Warning: ./spef/caravel.spef line 54963, *2821 not connected to net mprj_dat_i_user\[0\]. +Warning: ./spef/caravel.spef line 54983, *2821 not connected to net mprj_dat_i_user\[0\]. +Warning: ./spef/caravel.spef line 54995, *2821 not connected to net mprj_dat_i_user\[10\]. +Warning: ./spef/caravel.spef line 55019, *2821 not connected to net mprj_dat_i_user\[10\]. +Warning: ./spef/caravel.spef line 55030, *2821 not connected to net mprj_dat_i_user\[11\]. +Warning: ./spef/caravel.spef line 55049, *2821 not connected to net mprj_dat_i_user\[11\]. +Warning: ./spef/caravel.spef line 55061, *2821 not connected to net mprj_dat_i_user\[12\]. +Warning: ./spef/caravel.spef line 55082, *2821 not connected to net mprj_dat_i_user\[12\]. +Warning: ./spef/caravel.spef line 55093, *2821 not connected to net mprj_dat_i_user\[13\]. +Warning: ./spef/caravel.spef line 55114, *2821 not connected to net mprj_dat_i_user\[13\]. +Warning: ./spef/caravel.spef line 55126, *2821 not connected to net mprj_dat_i_user\[14\]. +Warning: ./spef/caravel.spef line 55140, *2821 not connected to net mprj_dat_i_user\[14\]. +Warning: ./spef/caravel.spef line 55153, *2821 not connected to net mprj_dat_i_user\[15\]. +Warning: ./spef/caravel.spef line 55176, *2821 not connected to net mprj_dat_i_user\[15\]. +Warning: ./spef/caravel.spef line 55190, *2821 not connected to net mprj_dat_i_user\[16\]. +Warning: ./spef/caravel.spef line 55204, *2821 not connected to net mprj_dat_i_user\[16\]. +Warning: ./spef/caravel.spef line 55216, *2821 not connected to net mprj_dat_i_user\[17\]. +Warning: ./spef/caravel.spef line 55237, *2821 not connected to net mprj_dat_i_user\[17\]. +Warning: ./spef/caravel.spef line 55251, *2821 not connected to net mprj_dat_i_user\[18\]. +Warning: ./spef/caravel.spef line 55267, *2821 not connected to net mprj_dat_i_user\[18\]. +Warning: ./spef/caravel.spef line 55279, *2821 not connected to net mprj_dat_i_user\[19\]. +Warning: ./spef/caravel.spef line 55297, *2821 not connected to net mprj_dat_i_user\[19\]. +Warning: ./spef/caravel.spef line 55310, *2821 not connected to net mprj_dat_i_user\[1\]. +Warning: ./spef/caravel.spef line 55325, *2821 not connected to net mprj_dat_i_user\[1\]. +Warning: ./spef/caravel.spef line 55337, *2821 not connected to net mprj_dat_i_user\[20\]. +Warning: ./spef/caravel.spef line 55351, *2821 not connected to net mprj_dat_i_user\[20\]. +Warning: ./spef/caravel.spef line 55363, *2821 not connected to net mprj_dat_i_user\[21\]. +Warning: ./spef/caravel.spef line 55389, *2821 not connected to net mprj_dat_i_user\[21\]. +Warning: ./spef/caravel.spef line 55403, *2821 not connected to net mprj_dat_i_user\[22\]. +Warning: ./spef/caravel.spef line 55427, *2821 not connected to net mprj_dat_i_user\[22\]. +Warning: ./spef/caravel.spef line 55442, *2821 not connected to net mprj_dat_i_user\[23\]. +Warning: ./spef/caravel.spef line 55454, *2821 not connected to net mprj_dat_i_user\[23\]. +Warning: ./spef/caravel.spef line 55466, *2821 not connected to net mprj_dat_i_user\[24\]. +Warning: ./spef/caravel.spef line 55480, *2821 not connected to net mprj_dat_i_user\[24\]. +Warning: ./spef/caravel.spef line 55492, *2821 not connected to net mprj_dat_i_user\[25\]. +Warning: ./spef/caravel.spef line 55505, *2821 not connected to net mprj_dat_i_user\[25\]. +Warning: ./spef/caravel.spef line 55517, *2821 not connected to net mprj_dat_i_user\[26\]. +Warning: ./spef/caravel.spef line 55534, *2821 not connected to net mprj_dat_i_user\[26\]. +Warning: ./spef/caravel.spef line 55546, *2821 not connected to net mprj_dat_i_user\[27\]. +Warning: ./spef/caravel.spef line 55562, *2821 not connected to net mprj_dat_i_user\[27\]. +Warning: ./spef/caravel.spef line 55574, *2821 not connected to net mprj_dat_i_user\[28\]. +Warning: ./spef/caravel.spef line 55594, *2821 not connected to net mprj_dat_i_user\[28\]. +Warning: ./spef/caravel.spef line 55607, *2821 not connected to net mprj_dat_i_user\[29\]. +Warning: ./spef/caravel.spef line 55630, *2821 not connected to net mprj_dat_i_user\[29\]. +Warning: ./spef/caravel.spef line 55645, *2821 not connected to net mprj_dat_i_user\[2\]. +Warning: ./spef/caravel.spef line 55659, *2821 not connected to net mprj_dat_i_user\[2\]. +Warning: ./spef/caravel.spef line 55671, *2821 not connected to net mprj_dat_i_user\[30\]. +Warning: ./spef/caravel.spef line 55684, *2821 not connected to net mprj_dat_i_user\[30\]. +Warning: ./spef/caravel.spef line 55695, *2821 not connected to net mprj_dat_i_user\[31\]. +Warning: ./spef/caravel.spef line 55711, *2821 not connected to net mprj_dat_i_user\[31\]. +Warning: ./spef/caravel.spef line 55723, *2821 not connected to net mprj_dat_i_user\[3\]. +Warning: ./spef/caravel.spef line 55753, *2821 not connected to net mprj_dat_i_user\[3\]. +Warning: ./spef/caravel.spef line 55768, *2821 not connected to net mprj_dat_i_user\[4\]. +Warning: ./spef/caravel.spef line 55781, *2821 not connected to net mprj_dat_i_user\[4\]. +Warning: ./spef/caravel.spef line 55793, *2821 not connected to net mprj_dat_i_user\[5\]. +Warning: ./spef/caravel.spef line 55813, *2821 not connected to net mprj_dat_i_user\[5\]. +Warning: ./spef/caravel.spef line 55825, *2821 not connected to net mprj_dat_i_user\[6\]. +Warning: ./spef/caravel.spef line 55838, *2821 not connected to net mprj_dat_i_user\[6\]. +Warning: ./spef/caravel.spef line 55850, *2821 not connected to net mprj_dat_i_user\[7\]. +Warning: ./spef/caravel.spef line 55878, *2821 not connected to net mprj_dat_i_user\[7\]. +Warning: ./spef/caravel.spef line 55892, *2821 not connected to net mprj_dat_i_user\[8\]. +Warning: ./spef/caravel.spef line 55905, *2821 not connected to net mprj_dat_i_user\[8\]. +Warning: ./spef/caravel.spef line 55916, *2821 not connected to net mprj_dat_i_user\[9\]. +Warning: ./spef/caravel.spef line 55926, *2821 not connected to net mprj_dat_i_user\[9\]. +Warning: ./spef/caravel.spef line 57603, *2821 not connected to net mprj_dat_o_user\[0\]. +Warning: ./spef/caravel.spef line 57622, *2821 not connected to net mprj_dat_o_user\[0\]. +Warning: ./spef/caravel.spef line 57630, *2821 not connected to net mprj_dat_o_user\[10\]. +Warning: ./spef/caravel.spef line 57648, *2821 not connected to net mprj_dat_o_user\[10\]. +Warning: ./spef/caravel.spef line 57656, *2821 not connected to net mprj_dat_o_user\[11\]. +Warning: ./spef/caravel.spef line 57684, *2821 not connected to net mprj_dat_o_user\[11\]. +Warning: ./spef/caravel.spef line 57692, *2821 not connected to net mprj_dat_o_user\[12\]. +Warning: ./spef/caravel.spef line 57714, *2821 not connected to net mprj_dat_o_user\[12\]. +Warning: ./spef/caravel.spef line 57722, *2821 not connected to net mprj_dat_o_user\[13\]. +Warning: ./spef/caravel.spef line 57740, *2821 not connected to net mprj_dat_o_user\[13\]. +Warning: ./spef/caravel.spef line 57748, *2821 not connected to net mprj_dat_o_user\[14\]. +Warning: ./spef/caravel.spef line 57769, *2821 not connected to net mprj_dat_o_user\[14\]. +Warning: ./spef/caravel.spef line 57777, *2821 not connected to net mprj_dat_o_user\[15\]. +Warning: ./spef/caravel.spef line 57795, *2821 not connected to net mprj_dat_o_user\[15\]. +Warning: ./spef/caravel.spef line 57803, *2821 not connected to net mprj_dat_o_user\[16\]. +Warning: ./spef/caravel.spef line 57828, *2821 not connected to net mprj_dat_o_user\[16\]. +Warning: ./spef/caravel.spef line 57836, *2821 not connected to net mprj_dat_o_user\[17\]. +Warning: ./spef/caravel.spef line 57857, *2821 not connected to net mprj_dat_o_user\[17\]. +Warning: ./spef/caravel.spef line 57865, *2821 not connected to net mprj_dat_o_user\[18\]. +Warning: ./spef/caravel.spef line 57882, *2821 not connected to net mprj_dat_o_user\[18\]. +Warning: ./spef/caravel.spef line 57890, *2821 not connected to net mprj_dat_o_user\[19\]. +Warning: ./spef/caravel.spef line 57908, *2821 not connected to net mprj_dat_o_user\[19\]. +Warning: ./spef/caravel.spef line 57916, *2821 not connected to net mprj_dat_o_user\[1\]. +Warning: ./spef/caravel.spef line 57935, *2821 not connected to net mprj_dat_o_user\[1\]. +Warning: ./spef/caravel.spef line 57943, *2821 not connected to net mprj_dat_o_user\[20\]. +Warning: ./spef/caravel.spef line 57960, *2821 not connected to net mprj_dat_o_user\[20\]. +Warning: ./spef/caravel.spef line 57968, *2821 not connected to net mprj_dat_o_user\[21\]. +Warning: ./spef/caravel.spef line 57989, *2821 not connected to net mprj_dat_o_user\[21\]. +Warning: ./spef/caravel.spef line 57997, *2821 not connected to net mprj_dat_o_user\[22\]. +Warning: ./spef/caravel.spef line 58025, *2821 not connected to net mprj_dat_o_user\[22\]. +Warning: ./spef/caravel.spef line 58033, *2821 not connected to net mprj_dat_o_user\[23\]. +Warning: ./spef/caravel.spef line 58051, *2821 not connected to net mprj_dat_o_user\[23\]. +Warning: ./spef/caravel.spef line 58059, *2821 not connected to net mprj_dat_o_user\[24\]. +Warning: ./spef/caravel.spef line 58076, *2821 not connected to net mprj_dat_o_user\[24\]. +Warning: ./spef/caravel.spef line 58084, *2821 not connected to net mprj_dat_o_user\[25\]. +Warning: ./spef/caravel.spef line 58101, *2821 not connected to net mprj_dat_o_user\[25\]. +Warning: ./spef/caravel.spef line 58109, *2821 not connected to net mprj_dat_o_user\[26\]. +Warning: ./spef/caravel.spef line 58129, *2821 not connected to net mprj_dat_o_user\[26\]. +Warning: ./spef/caravel.spef line 58137, *2821 not connected to net mprj_dat_o_user\[27\]. +Warning: ./spef/caravel.spef line 58157, *2821 not connected to net mprj_dat_o_user\[27\]. +Warning: ./spef/caravel.spef line 58165, *2821 not connected to net mprj_dat_o_user\[28\]. +Warning: ./spef/caravel.spef line 58194, *2821 not connected to net mprj_dat_o_user\[28\]. +Warning: ./spef/caravel.spef line 58202, *2821 not connected to net mprj_dat_o_user\[29\]. +Warning: ./spef/caravel.spef line 58222, *2821 not connected to net mprj_dat_o_user\[29\]. +Warning: ./spef/caravel.spef line 58230, *2821 not connected to net mprj_dat_o_user\[2\]. +Warning: ./spef/caravel.spef line 58249, *2821 not connected to net mprj_dat_o_user\[2\]. +Warning: ./spef/caravel.spef line 58257, *2821 not connected to net mprj_dat_o_user\[30\]. +Warning: ./spef/caravel.spef line 58275, *2821 not connected to net mprj_dat_o_user\[30\]. +Warning: ./spef/caravel.spef line 58283, *2821 not connected to net mprj_dat_o_user\[31\]. +Warning: ./spef/caravel.spef line 58304, *2821 not connected to net mprj_dat_o_user\[31\]. +Warning: ./spef/caravel.spef line 58312, *2821 not connected to net mprj_dat_o_user\[3\]. +Warning: ./spef/caravel.spef line 58331, *2821 not connected to net mprj_dat_o_user\[3\]. +Warning: ./spef/caravel.spef line 58339, *2821 not connected to net mprj_dat_o_user\[4\]. +Warning: ./spef/caravel.spef line 58376, *2821 not connected to net mprj_dat_o_user\[4\]. +Warning: ./spef/caravel.spef line 58384, *2821 not connected to net mprj_dat_o_user\[5\]. +Warning: ./spef/caravel.spef line 58404, *2821 not connected to net mprj_dat_o_user\[5\]. +Warning: ./spef/caravel.spef line 58412, *2821 not connected to net mprj_dat_o_user\[6\]. +Warning: ./spef/caravel.spef line 58433, *2821 not connected to net mprj_dat_o_user\[6\]. +Warning: ./spef/caravel.spef line 58441, *2821 not connected to net mprj_dat_o_user\[7\]. +Warning: ./spef/caravel.spef line 58460, *2821 not connected to net mprj_dat_o_user\[7\]. +Warning: ./spef/caravel.spef line 58468, *2821 not connected to net mprj_dat_o_user\[8\]. +Warning: ./spef/caravel.spef line 58488, *2821 not connected to net mprj_dat_o_user\[8\]. +Warning: ./spef/caravel.spef line 58496, *2821 not connected to net mprj_dat_o_user\[9\]. +Warning: ./spef/caravel.spef line 58513, *2821 not connected to net mprj_dat_o_user\[9\]. +Warning: ./spef/caravel.spef line 74291, *2821 not connected to net mprj_sel_o_user\[0\]. +Warning: ./spef/caravel.spef line 74307, *2821 not connected to net mprj_sel_o_user\[0\]. +Warning: ./spef/caravel.spef line 74315, *2821 not connected to net mprj_sel_o_user\[1\]. +Warning: ./spef/caravel.spef line 74333, *2821 not connected to net mprj_sel_o_user\[1\]. +Warning: ./spef/caravel.spef line 74341, *2821 not connected to net mprj_sel_o_user\[2\]. +Warning: ./spef/caravel.spef line 74360, *2821 not connected to net mprj_sel_o_user\[2\]. +Warning: ./spef/caravel.spef line 74368, *2821 not connected to net mprj_sel_o_user\[3\]. +Warning: ./spef/caravel.spef line 74387, *2821 not connected to net mprj_sel_o_user\[3\]. +Warning: ./spef/caravel.spef line 75154, *2823 not connected to net rstb_h. +Warning: ./spef/caravel.spef line 75155, *2742 not connected to net rstb_h. +Warning: ./spef/caravel.spef line 75179, *2742 not connected to net rstb_h. +Warning: ./spef/caravel.spef line 75184, *2823 not connected to net rstb_h. +Warning: ./spef/caravel.spef line 76410, *2821 not connected to net user_analog_io\[0\]. +Warning: ./spef/caravel.spef line 76427, *2821 not connected to net user_analog_io\[0\]. +Warning: ./spef/caravel.spef line 76435, *2821 not connected to net user_analog_io\[10\]. +Warning: ./spef/caravel.spef line 76451, *2821 not connected to net user_analog_io\[10\]. +Warning: ./spef/caravel.spef line 76459, *2821 not connected to net user_analog_io\[11\]. +Warning: ./spef/caravel.spef line 76488, *2821 not connected to net user_analog_io\[11\]. +Warning: ./spef/caravel.spef line 76496, *2821 not connected to net user_analog_io\[12\]. +Warning: ./spef/caravel.spef line 76517, *2821 not connected to net user_analog_io\[12\]. +Warning: ./spef/caravel.spef line 76525, *2821 not connected to net user_analog_io\[13\]. +Warning: ./spef/caravel.spef line 76547, *2821 not connected to net user_analog_io\[13\]. +Warning: ./spef/caravel.spef line 76573, *2821 not connected to net user_analog_io\[15\]. +Warning: ./spef/caravel.spef line 76583, *2821 not connected to net user_analog_io\[15\]. +Warning: ./spef/caravel.spef line 76591, *2821 not connected to net user_analog_io\[16\]. +Warning: ./spef/caravel.spef line 76622, *2821 not connected to net user_analog_io\[16\]. +Warning: ./spef/caravel.spef line 76630, *2821 not connected to net user_analog_io\[17\]. +Warning: ./spef/caravel.spef line 76641, *2821 not connected to net user_analog_io\[17\]. +Warning: ./spef/caravel.spef line 76649, *2821 not connected to net user_analog_io\[18\]. +Warning: ./spef/caravel.spef line 76667, *2821 not connected to net user_analog_io\[18\]. +Warning: ./spef/caravel.spef line 76675, *2821 not connected to net user_analog_io\[19\]. +Warning: ./spef/caravel.spef line 76695, *2821 not connected to net user_analog_io\[19\]. +Warning: ./spef/caravel.spef line 76703, *2821 not connected to net user_analog_io\[1\]. +Warning: ./spef/caravel.spef line 76726, *2821 not connected to net user_analog_io\[1\]. +Warning: ./spef/caravel.spef line 76734, *2821 not connected to net user_analog_io\[20\]. +Warning: ./spef/caravel.spef line 76762, *2821 not connected to net user_analog_io\[20\]. +Warning: ./spef/caravel.spef line 76770, *2821 not connected to net user_analog_io\[21\]. +Warning: ./spef/caravel.spef line 76792, *2821 not connected to net user_analog_io\[21\]. +Warning: ./spef/caravel.spef line 76800, *2821 not connected to net user_analog_io\[22\]. +Warning: ./spef/caravel.spef line 76821, *2821 not connected to net user_analog_io\[22\]. +Warning: ./spef/caravel.spef line 76829, *2821 not connected to net user_analog_io\[23\]. +Warning: ./spef/caravel.spef line 76849, *2821 not connected to net user_analog_io\[23\]. +Warning: ./spef/caravel.spef line 76857, *2821 not connected to net user_analog_io\[24\]. +Warning: ./spef/caravel.spef line 76873, *2821 not connected to net user_analog_io\[24\]. +Warning: ./spef/caravel.spef line 76881, *2821 not connected to net user_analog_io\[25\]. +Warning: ./spef/caravel.spef line 76899, *2821 not connected to net user_analog_io\[25\]. +Warning: ./spef/caravel.spef line 76907, *2821 not connected to net user_analog_io\[26\]. +Warning: ./spef/caravel.spef line 76924, *2821 not connected to net user_analog_io\[26\]. +Warning: ./spef/caravel.spef line 76932, *2821 not connected to net user_analog_io\[27\]. +Warning: ./spef/caravel.spef line 76956, *2821 not connected to net user_analog_io\[27\]. +Warning: ./spef/caravel.spef line 76964, *2821 not connected to net user_analog_io\[28\]. +Warning: ./spef/caravel.spef line 76983, *2821 not connected to net user_analog_io\[28\]. +Warning: ./spef/caravel.spef line 76991, *2821 not connected to net user_analog_io\[2\]. +Warning: ./spef/caravel.spef line 77013, *2821 not connected to net user_analog_io\[2\]. +Warning: ./spef/caravel.spef line 77021, *2821 not connected to net user_analog_io\[3\]. +Warning: ./spef/caravel.spef line 77040, *2821 not connected to net user_analog_io\[3\]. +Warning: ./spef/caravel.spef line 77048, *2821 not connected to net user_analog_io\[4\]. +Warning: ./spef/caravel.spef line 77075, *2821 not connected to net user_analog_io\[4\]. +Warning: ./spef/caravel.spef line 77083, *2821 not connected to net user_analog_io\[5\]. +Warning: ./spef/caravel.spef line 77108, *2821 not connected to net user_analog_io\[5\]. +Warning: ./spef/caravel.spef line 77116, *2821 not connected to net user_analog_io\[6\]. +Warning: ./spef/caravel.spef line 77134, *2821 not connected to net user_analog_io\[6\]. +Warning: ./spef/caravel.spef line 77142, *2821 not connected to net user_analog_io\[7\]. +Warning: ./spef/caravel.spef line 77165, *2821 not connected to net user_analog_io\[7\]. +Warning: ./spef/caravel.spef line 77173, *2821 not connected to net user_analog_io\[8\]. +Warning: ./spef/caravel.spef line 77182, *2821 not connected to net user_analog_io\[8\]. +Warning: ./spef/caravel.spef line 77190, *2821 not connected to net user_analog_io\[9\]. +Warning: ./spef/caravel.spef line 77211, *2821 not connected to net user_analog_io\[9\]. +Warning: ./spef/caravel.spef line 77219, *2821 not connected to net user_io_in\[0\]. +Warning: ./spef/caravel.spef line 77241, *2821 not connected to net user_io_in\[0\]. +Warning: ./spef/caravel.spef line 77249, *2821 not connected to net user_io_in\[10\]. +Warning: ./spef/caravel.spef line 77275, *2821 not connected to net user_io_in\[10\]. +Warning: ./spef/caravel.spef line 77283, *2821 not connected to net user_io_in\[11\]. +Warning: ./spef/caravel.spef line 77308, *2821 not connected to net user_io_in\[11\]. +Warning: ./spef/caravel.spef line 77316, *2821 not connected to net user_io_in\[12\]. +Warning: ./spef/caravel.spef line 77338, *2821 not connected to net user_io_in\[12\]. +Warning: ./spef/caravel.spef line 77346, *2821 not connected to net user_io_in\[13\]. +Warning: ./spef/caravel.spef line 77367, *2821 not connected to net user_io_in\[13\]. +Warning: ./spef/caravel.spef line 77375, *2821 not connected to net user_io_in\[14\]. +Warning: ./spef/caravel.spef line 77392, *2821 not connected to net user_io_in\[14\]. +Warning: ./spef/caravel.spef line 77400, *2821 not connected to net user_io_in\[15\]. +Warning: ./spef/caravel.spef line 77420, *2821 not connected to net user_io_in\[15\]. +Warning: ./spef/caravel.spef line 77428, *2821 not connected to net user_io_in\[16\]. +Warning: ./spef/caravel.spef line 77449, *2821 not connected to net user_io_in\[16\]. +Warning: ./spef/caravel.spef line 77457, *2821 not connected to net user_io_in\[17\]. +Warning: ./spef/caravel.spef line 77479, *2821 not connected to net user_io_in\[17\]. +Warning: ./spef/caravel.spef line 77487, *2821 not connected to net user_io_in\[18\]. +Warning: ./spef/caravel.spef line 77509, *2821 not connected to net user_io_in\[18\]. +Warning: ./spef/caravel.spef line 77517, *2821 not connected to net user_io_in\[19\]. +Warning: ./spef/caravel.spef line 77536, *2821 not connected to net user_io_in\[19\]. +Warning: ./spef/caravel.spef line 77544, *2821 not connected to net user_io_in\[1\]. +Warning: ./spef/caravel.spef line 77564, *2821 not connected to net user_io_in\[1\]. +Warning: ./spef/caravel.spef line 77572, *2821 not connected to net user_io_in\[20\]. +Warning: ./spef/caravel.spef line 77593, *2821 not connected to net user_io_in\[20\]. +Warning: ./spef/caravel.spef line 77601, *2821 not connected to net user_io_in\[21\]. +Warning: ./spef/caravel.spef line 77617, *2821 not connected to net user_io_in\[21\]. +Warning: ./spef/caravel.spef line 77625, *2821 not connected to net user_io_in\[22\]. +Warning: ./spef/caravel.spef line 77635, *2821 not connected to net user_io_in\[22\]. +Warning: ./spef/caravel.spef line 77643, *2821 not connected to net user_io_in\[23\]. +Warning: ./spef/caravel.spef line 77659, *2821 not connected to net user_io_in\[23\]. +Warning: ./spef/caravel.spef line 77667, *2821 not connected to net user_io_in\[24\]. +Warning: ./spef/caravel.spef line 77682, *2821 not connected to net user_io_in\[24\]. +Warning: ./spef/caravel.spef line 77690, *2821 not connected to net user_io_in\[25\]. +Warning: ./spef/caravel.spef line 77709, *2821 not connected to net user_io_in\[25\]. +Warning: ./spef/caravel.spef line 77717, *2821 not connected to net user_io_in\[26\]. +Warning: ./spef/caravel.spef line 77738, *2821 not connected to net user_io_in\[26\]. +Warning: ./spef/caravel.spef line 77746, *2821 not connected to net user_io_in\[27\]. +Warning: ./spef/caravel.spef line 77762, *2821 not connected to net user_io_in\[27\]. +Warning: ./spef/caravel.spef line 77770, *2821 not connected to net user_io_in\[28\]. +Warning: ./spef/caravel.spef line 77788, *2821 not connected to net user_io_in\[28\]. +Warning: ./spef/caravel.spef line 77796, *2821 not connected to net user_io_in\[29\]. +Warning: ./spef/caravel.spef line 77817, *2821 not connected to net user_io_in\[29\]. +Warning: ./spef/caravel.spef line 77825, *2821 not connected to net user_io_in\[2\]. +Warning: ./spef/caravel.spef line 77847, *2821 not connected to net user_io_in\[2\]. +Warning: ./spef/caravel.spef line 77855, *2821 not connected to net user_io_in\[30\]. +Warning: ./spef/caravel.spef line 77870, *2821 not connected to net user_io_in\[30\]. +Warning: ./spef/caravel.spef line 77878, *2821 not connected to net user_io_in\[31\]. +Warning: ./spef/caravel.spef line 77895, *2821 not connected to net user_io_in\[31\]. +Warning: ./spef/caravel.spef line 77903, *2821 not connected to net user_io_in\[32\]. +Warning: ./spef/caravel.spef line 77920, *2821 not connected to net user_io_in\[32\]. +Warning: ./spef/caravel.spef line 77928, *2821 not connected to net user_io_in\[33\]. +Warning: ./spef/caravel.spef line 77946, *2821 not connected to net user_io_in\[33\]. +Warning: ./spef/caravel.spef line 77954, *2821 not connected to net user_io_in\[34\]. +Warning: ./spef/caravel.spef line 77976, *2821 not connected to net user_io_in\[34\]. +Warning: ./spef/caravel.spef line 77984, *2821 not connected to net user_io_in\[35\]. +Warning: ./spef/caravel.spef line 78004, *2821 not connected to net user_io_in\[35\]. +Warning: ./spef/caravel.spef line 78012, *2821 not connected to net user_io_in\[36\]. +Warning: ./spef/caravel.spef line 78031, *2821 not connected to net user_io_in\[36\]. +Warning: ./spef/caravel.spef line 78039, *2821 not connected to net user_io_in\[37\]. +Warning: ./spef/caravel.spef line 78057, *2821 not connected to net user_io_in\[37\]. +Warning: ./spef/caravel.spef line 78065, *2821 not connected to net user_io_in\[3\]. +Warning: ./spef/caravel.spef line 78086, *2821 not connected to net user_io_in\[3\]. +Warning: ./spef/caravel.spef line 78094, *2821 not connected to net user_io_in\[4\]. +Warning: ./spef/caravel.spef line 78115, *2821 not connected to net user_io_in\[4\]. +Warning: ./spef/caravel.spef line 78123, *2821 not connected to net user_io_in\[5\]. +Warning: ./spef/caravel.spef line 78143, *2821 not connected to net user_io_in\[5\]. +Warning: ./spef/caravel.spef line 78151, *2821 not connected to net user_io_in\[6\]. +Warning: ./spef/caravel.spef line 78173, *2821 not connected to net user_io_in\[6\]. +Warning: ./spef/caravel.spef line 78181, *2821 not connected to net user_io_in\[7\]. +Warning: ./spef/caravel.spef line 78204, *2821 not connected to net user_io_in\[7\]. +Warning: ./spef/caravel.spef line 78212, *2821 not connected to net user_io_in\[8\]. +Warning: ./spef/caravel.spef line 78237, *2821 not connected to net user_io_in\[8\]. +Warning: ./spef/caravel.spef line 78245, *2821 not connected to net user_io_in\[9\]. +Warning: ./spef/caravel.spef line 78269, *2821 not connected to net user_io_in\[9\]. +Warning: ./spef/caravel.spef line 78278, *2821 not connected to net user_io_oeb\[0\]. +Warning: ./spef/caravel.spef line 78294, *2821 not connected to net user_io_oeb\[0\]. +Warning: ./spef/caravel.spef line 78308, *2821 not connected to net user_io_oeb\[10\]. +Warning: ./spef/caravel.spef line 78330, *2821 not connected to net user_io_oeb\[10\]. +Warning: ./spef/caravel.spef line 78344, *2821 not connected to net user_io_oeb\[11\]. +Warning: ./spef/caravel.spef line 78356, *2821 not connected to net user_io_oeb\[11\]. +Warning: ./spef/caravel.spef line 78370, *2821 not connected to net user_io_oeb\[12\]. +Warning: ./spef/caravel.spef line 78382, *2821 not connected to net user_io_oeb\[12\]. +Warning: ./spef/caravel.spef line 78396, *2821 not connected to net user_io_oeb\[13\]. +Warning: ./spef/caravel.spef line 78412, *2821 not connected to net user_io_oeb\[13\]. +Warning: ./spef/caravel.spef line 78426, *2821 not connected to net user_io_oeb\[14\]. +Warning: ./spef/caravel.spef line 78440, *2821 not connected to net user_io_oeb\[14\]. +Warning: ./spef/caravel.spef line 78453, *2821 not connected to net user_io_oeb\[15\]. +Warning: ./spef/caravel.spef line 78468, *2821 not connected to net user_io_oeb\[15\]. +Warning: ./spef/caravel.spef line 78482, *2821 not connected to net user_io_oeb\[16\]. +Warning: ./spef/caravel.spef line 78495, *2821 not connected to net user_io_oeb\[16\]. +Warning: ./spef/caravel.spef line 78508, *2821 not connected to net user_io_oeb\[17\]. +Warning: ./spef/caravel.spef line 78524, *2821 not connected to net user_io_oeb\[17\]. +Warning: ./spef/caravel.spef line 78537, *2821 not connected to net user_io_oeb\[18\]. +Warning: ./spef/caravel.spef line 78550, *2821 not connected to net user_io_oeb\[18\]. +Warning: ./spef/caravel.spef line 78563, *2821 not connected to net user_io_oeb\[19\]. +Warning: ./spef/caravel.spef line 78570, *2821 not connected to net user_io_oeb\[19\]. +Warning: ./spef/caravel.spef line 78584, *2821 not connected to net user_io_oeb\[1\]. +Warning: ./spef/caravel.spef line 78603, *2821 not connected to net user_io_oeb\[1\]. +Warning: ./spef/caravel.spef line 78617, *2821 not connected to net user_io_oeb\[20\]. +Warning: ./spef/caravel.spef line 78628, *2821 not connected to net user_io_oeb\[20\]. +Warning: ./spef/caravel.spef line 78639, *2821 not connected to net user_io_oeb\[21\]. +Warning: ./spef/caravel.spef line 78652, *2821 not connected to net user_io_oeb\[21\]. +Warning: ./spef/caravel.spef line 78665, *2821 not connected to net user_io_oeb\[22\]. +Warning: ./spef/caravel.spef line 78679, *2821 not connected to net user_io_oeb\[22\]. +Warning: ./spef/caravel.spef line 78693, *2821 not connected to net user_io_oeb\[23\]. +Warning: ./spef/caravel.spef line 78713, *2821 not connected to net user_io_oeb\[23\]. +Warning: ./spef/caravel.spef line 78728, *2821 not connected to net user_io_oeb\[24\]. +Warning: ./spef/caravel.spef line 78737, *2821 not connected to net user_io_oeb\[24\]. +Warning: ./spef/caravel.spef line 78748, *2821 not connected to net user_io_oeb\[25\]. +Warning: ./spef/caravel.spef line 78764, *2821 not connected to net user_io_oeb\[25\]. +Warning: ./spef/caravel.spef line 78778, *2821 not connected to net user_io_oeb\[26\]. +Warning: ./spef/caravel.spef line 78792, *2821 not connected to net user_io_oeb\[26\]. +Warning: ./spef/caravel.spef line 78806, *2821 not connected to net user_io_oeb\[27\]. +Warning: ./spef/caravel.spef line 78814, *2821 not connected to net user_io_oeb\[27\]. +Warning: ./spef/caravel.spef line 78825, *2821 not connected to net user_io_oeb\[28\]. +Warning: ./spef/caravel.spef line 78841, *2821 not connected to net user_io_oeb\[28\]. +Warning: ./spef/caravel.spef line 78855, *2821 not connected to net user_io_oeb\[29\]. +Warning: ./spef/caravel.spef line 78870, *2821 not connected to net user_io_oeb\[29\]. +Warning: ./spef/caravel.spef line 78884, *2821 not connected to net user_io_oeb\[2\]. +Warning: ./spef/caravel.spef line 78902, *2821 not connected to net user_io_oeb\[2\]. +Warning: ./spef/caravel.spef line 78917, *2821 not connected to net user_io_oeb\[30\]. +Warning: ./spef/caravel.spef line 78930, *2821 not connected to net user_io_oeb\[30\]. +Warning: ./spef/caravel.spef line 78941, *2821 not connected to net user_io_oeb\[31\]. +Warning: ./spef/caravel.spef line 78947, *2821 not connected to net user_io_oeb\[31\]. +Warning: ./spef/caravel.spef line 78960, *2821 not connected to net user_io_oeb\[32\]. +Warning: ./spef/caravel.spef line 78972, *2821 not connected to net user_io_oeb\[32\]. +Warning: ./spef/caravel.spef line 78983, *2821 not connected to net user_io_oeb\[33\]. +Warning: ./spef/caravel.spef line 78996, *2821 not connected to net user_io_oeb\[33\]. +Warning: ./spef/caravel.spef line 79010, *2821 not connected to net user_io_oeb\[34\]. +Warning: ./spef/caravel.spef line 79021, *2821 not connected to net user_io_oeb\[34\]. +Warning: ./spef/caravel.spef line 79034, *2821 not connected to net user_io_oeb\[35\]. +Warning: ./spef/caravel.spef line 79049, *2821 not connected to net user_io_oeb\[35\]. +Warning: ./spef/caravel.spef line 79063, *2821 not connected to net user_io_oeb\[36\]. +Warning: ./spef/caravel.spef line 79074, *2821 not connected to net user_io_oeb\[36\]. +Warning: ./spef/caravel.spef line 79087, *2821 not connected to net user_io_oeb\[37\]. +Warning: ./spef/caravel.spef line 79099, *2821 not connected to net user_io_oeb\[37\]. +Warning: ./spef/caravel.spef line 79112, *2821 not connected to net user_io_oeb\[3\]. +Warning: ./spef/caravel.spef line 79128, *2821 not connected to net user_io_oeb\[3\]. +Warning: ./spef/caravel.spef line 79143, *2821 not connected to net user_io_oeb\[4\]. +Warning: ./spef/caravel.spef line 79161, *2821 not connected to net user_io_oeb\[4\]. +Warning: ./spef/caravel.spef line 79175, *2821 not connected to net user_io_oeb\[5\]. +Warning: ./spef/caravel.spef line 79192, *2821 not connected to net user_io_oeb\[5\]. +Warning: ./spef/caravel.spef line 79206, *2821 not connected to net user_io_oeb\[6\]. +Warning: ./spef/caravel.spef line 79225, *2821 not connected to net user_io_oeb\[6\]. +Warning: ./spef/caravel.spef line 79240, *2821 not connected to net user_io_oeb\[7\]. +Warning: ./spef/caravel.spef line 79257, *2821 not connected to net user_io_oeb\[7\]. +Warning: ./spef/caravel.spef line 79271, *2821 not connected to net user_io_oeb\[8\]. +Warning: ./spef/caravel.spef line 79284, *2821 not connected to net user_io_oeb\[8\]. +Warning: ./spef/caravel.spef line 79297, *2821 not connected to net user_io_oeb\[9\]. +Warning: ./spef/caravel.spef line 79313, *2821 not connected to net user_io_oeb\[9\]. +Warning: ./spef/caravel.spef line 79328, *2821 not connected to net user_io_out\[0\]. +Warning: ./spef/caravel.spef line 79346, *2821 not connected to net user_io_out\[0\]. +Warning: ./spef/caravel.spef line 79360, *2821 not connected to net user_io_out\[10\]. +Warning: ./spef/caravel.spef line 79379, *2821 not connected to net user_io_out\[10\]. +Warning: ./spef/caravel.spef line 79393, *2821 not connected to net user_io_out\[11\]. +Warning: ./spef/caravel.spef line 79405, *2821 not connected to net user_io_out\[11\]. +Warning: ./spef/caravel.spef line 79420, *2821 not connected to net user_io_out\[12\]. +Warning: ./spef/caravel.spef line 79437, *2821 not connected to net user_io_out\[12\]. +Warning: ./spef/caravel.spef line 79451, *2821 not connected to net user_io_out\[13\]. +Warning: ./spef/caravel.spef line 79467, *2821 not connected to net user_io_out\[13\]. +Warning: ./spef/caravel.spef line 79482, *2821 not connected to net user_io_out\[14\]. +Warning: ./spef/caravel.spef line 79496, *2821 not connected to net user_io_out\[14\]. +Warning: ./spef/caravel.spef line 79509, *2821 not connected to net user_io_out\[15\]. +Warning: ./spef/caravel.spef line 79521, *2821 not connected to net user_io_out\[15\]. +Warning: ./spef/caravel.spef line 79534, *2821 not connected to net user_io_out\[16\]. +Warning: ./spef/caravel.spef line 79547, *2821 not connected to net user_io_out\[16\]. +Warning: ./spef/caravel.spef line 79560, *2821 not connected to net user_io_out\[17\]. +Warning: ./spef/caravel.spef line 79572, *2821 not connected to net user_io_out\[17\]. +Warning: ./spef/caravel.spef line 79585, *2821 not connected to net user_io_out\[18\]. +Warning: ./spef/caravel.spef line 79601, *2821 not connected to net user_io_out\[18\]. +Warning: ./spef/caravel.spef line 79614, *2821 not connected to net user_io_out\[19\]. +Warning: ./spef/caravel.spef line 79622, *2821 not connected to net user_io_out\[19\]. +Warning: ./spef/caravel.spef line 79634, *2821 not connected to net user_io_out\[1\]. +Warning: ./spef/caravel.spef line 79658, *2821 not connected to net user_io_out\[1\]. +Warning: ./spef/caravel.spef line 79673, *2821 not connected to net user_io_out\[20\]. +Warning: ./spef/caravel.spef line 79686, *2821 not connected to net user_io_out\[20\]. +Warning: ./spef/caravel.spef line 79699, *2821 not connected to net user_io_out\[21\]. +Warning: ./spef/caravel.spef line 79706, *2821 not connected to net user_io_out\[21\]. +Warning: ./spef/caravel.spef line 79717, *2821 not connected to net user_io_out\[22\]. +Warning: ./spef/caravel.spef line 79726, *2821 not connected to net user_io_out\[22\]. +Warning: ./spef/caravel.spef line 79737, *2821 not connected to net user_io_out\[23\]. +Warning: ./spef/caravel.spef line 79751, *2821 not connected to net user_io_out\[23\]. +Warning: ./spef/caravel.spef line 79765, *2821 not connected to net user_io_out\[24\]. +Warning: ./spef/caravel.spef line 79774, *2821 not connected to net user_io_out\[24\]. +Warning: ./spef/caravel.spef line 79785, *2821 not connected to net user_io_out\[25\]. +Warning: ./spef/caravel.spef line 79799, *2821 not connected to net user_io_out\[25\]. +Warning: ./spef/caravel.spef line 79812, *2821 not connected to net user_io_out\[26\]. +Warning: ./spef/caravel.spef line 79823, *2821 not connected to net user_io_out\[26\]. +Warning: ./spef/caravel.spef line 79837, *2821 not connected to net user_io_out\[27\]. +Warning: ./spef/caravel.spef line 79853, *2821 not connected to net user_io_out\[27\]. +Warning: ./spef/caravel.spef line 79864, *2821 not connected to net user_io_out\[28\]. +Warning: ./spef/caravel.spef line 79876, *2821 not connected to net user_io_out\[28\]. +Warning: ./spef/caravel.spef line 79890, *2821 not connected to net user_io_out\[29\]. +Warning: ./spef/caravel.spef line 79902, *2821 not connected to net user_io_out\[29\]. +Warning: ./spef/caravel.spef line 79916, *2821 not connected to net user_io_out\[2\]. +Warning: ./spef/caravel.spef line 79933, *2821 not connected to net user_io_out\[2\]. +Warning: ./spef/caravel.spef line 79947, *2821 not connected to net user_io_out\[30\]. +Warning: ./spef/caravel.spef line 79960, *2821 not connected to net user_io_out\[30\]. +Warning: ./spef/caravel.spef line 79974, *2821 not connected to net user_io_out\[31\]. +Warning: ./spef/caravel.spef line 79984, *2821 not connected to net user_io_out\[31\]. +Warning: ./spef/caravel.spef line 79998, *2821 not connected to net user_io_out\[32\]. +Warning: ./spef/caravel.spef line 80015, *2821 not connected to net user_io_out\[32\]. +Warning: ./spef/caravel.spef line 80028, *2821 not connected to net user_io_out\[33\]. +Warning: ./spef/caravel.spef line 80040, *2821 not connected to net user_io_out\[33\]. +Warning: ./spef/caravel.spef line 80054, *2821 not connected to net user_io_out\[34\]. +Warning: ./spef/caravel.spef line 80072, *2821 not connected to net user_io_out\[34\]. +Warning: ./spef/caravel.spef line 80085, *2821 not connected to net user_io_out\[35\]. +Warning: ./spef/caravel.spef line 80098, *2821 not connected to net user_io_out\[35\]. +Warning: ./spef/caravel.spef line 80112, *2821 not connected to net user_io_out\[36\]. +Warning: ./spef/caravel.spef line 80123, *2821 not connected to net user_io_out\[36\]. +Warning: ./spef/caravel.spef line 80137, *2821 not connected to net user_io_out\[37\]. +Warning: ./spef/caravel.spef line 80156, *2821 not connected to net user_io_out\[37\]. +Warning: ./spef/caravel.spef line 80169, *2821 not connected to net user_io_out\[3\]. +Warning: ./spef/caravel.spef line 80186, *2821 not connected to net user_io_out\[3\]. +Warning: ./spef/caravel.spef line 80200, *2821 not connected to net user_io_out\[4\]. +Warning: ./spef/caravel.spef line 80212, *2821 not connected to net user_io_out\[4\]. +Warning: ./spef/caravel.spef line 80227, *2821 not connected to net user_io_out\[5\]. +Warning: ./spef/caravel.spef line 80244, *2821 not connected to net user_io_out\[5\]. +Warning: ./spef/caravel.spef line 80258, *2821 not connected to net user_io_out\[6\]. +Warning: ./spef/caravel.spef line 80272, *2821 not connected to net user_io_out\[6\]. +Warning: ./spef/caravel.spef line 80287, *2821 not connected to net user_io_out\[7\]. +Warning: ./spef/caravel.spef line 80301, *2821 not connected to net user_io_out\[7\]. +Warning: ./spef/caravel.spef line 80315, *2821 not connected to net user_io_out\[8\]. +Warning: ./spef/caravel.spef line 80333, *2821 not connected to net user_io_out\[8\]. +Warning: ./spef/caravel.spef line 80348, *2821 not connected to net user_io_out\[9\]. +Warning: ./spef/caravel.spef line 80365, *2821 not connected to net user_io_out\[9\]. +Warning: ./spef/caravel.spef line 80460, *2821 not connected to net user_irq_core\[0\]. +Warning: ./spef/caravel.spef line 80473, *2821 not connected to net user_irq_core\[0\]. +Warning: ./spef/caravel.spef line 80507, *2821 not connected to net user_irq_core\[2\]. +Warning: ./spef/caravel.spef line 80520, *2821 not connected to net user_irq_core\[2\]. +set ::env(IO_PCT) "0.2" +DFFRAM_0coresocpadframerstb_levelpllhousekeepingpowergood_checkmprj_logic_high_instmprj2_logic_high_instmgmt_buffersgpio_control_bidir_1[0]gpio_control_bidir_1[1]gpio_control_bidir_2[1]gpio_control_bidir_2[2]gpio_control_in_1[0]gpio_control_in_1[10]gpio_control_in_1[1]gpio_control_in_1[2]gpio_control_in_1[3]gpio_control_in_1[4]gpio_control_in_1[5]gpio_control_in_1[6]gpio_control_in_1[7]gpio_control_in_1[8]gpio_control_in_1[9]gpio_control_in_1a[0]gpio_control_in_1a[1]gpio_control_in_1a[2]gpio_control_in_1a[3]gpio_control_in_1a[4]gpio_control_in_1a[5]gpio_control_in_2[0]gpio_control_in_2[10]gpio_control_in_2[11]gpio_control_in_2[12]gpio_control_in_2[13]gpio_control_in_2[14]gpio_control_in_2[15]gpio_control_in_2[1]gpio_control_in_2[2]gpio_control_in_2[3]gpio_control_in_2[4]gpio_control_in_2[5]gpio_control_in_2[6]gpio_control_in_2[7]gpio_control_in_2[8]gpio_control_in_2[9]gpio_defaults_block_0gpio_defaults_block_1gpio_defaults_block_2gpio_defaults_block_3gpio_defaults_block_4gpio_defaults_block_5gpio_defaults_block_6gpio_defaults_block_7gpio_defaults_block_8gpio_defaults_block_9gpio_defaults_block_10gpio_defaults_block_11gpio_defaults_block_12gpio_defaults_block_13gpio_defaults_block_14gpio_defaults_block_15gpio_defaults_block_16gpio_defaults_block_17gpio_defaults_block_18gpio_defaults_block_19gpio_defaults_block_20gpio_defaults_block_21gpio_defaults_block_22gpio_defaults_block_23gpio_defaults_block_24gpio_defaults_block_25gpio_defaults_block_26gpio_defaults_block_27gpio_defaults_block_28gpio_defaults_block_29gpio_defaults_block_30gpio_defaults_block_31gpio_defaults_block_32gpio_defaults_block_33gpio_defaults_block_34gpio_defaults_block_35gpio_defaults_block_36gpio_defaults_block_37set ::env(SYNTH_MAX_FANOUT) "5" +set ::env(SYNTH_CAP_LOAD) "33" +set ::env(SYNTH_TIMING_DERATE) 0.05 +set ::env(SYNTH_CLOCK_UNCERTAINITY) 0.25 +set ::env(SYNTH_CLOCK_TRANSITION) 0.15 +## MASTER CLOCKS +create_clock [get_ports {"clock"} ] -name "clock" -period 25 +set_propagated_clock [get_clocks {"clock"}] +## INPUT/OUTPUT DELAYS +set input_delay_value 1 +set output_delay_value [expr 25 * $::env(IO_PCT)] +puts "\[INFO\]: Setting output delay to: $output_delay_value" +[INFO]: Setting output delay to: 5.0 +puts "\[INFO\]: Setting input delay to: $input_delay_value" +[INFO]: Setting input delay to: 1 +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {gpio}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[0]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[1]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[2]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[3]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[4]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[5]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[6]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[7]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[8]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[9]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[10]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[11]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[12]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[13]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[14]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[15]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[16]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[17]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[18]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[19]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[20]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[21]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[22]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[23]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[24]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[25]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[26]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[27]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[28]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[29]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[30]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[31]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[32]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[33]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[34]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[35]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[36]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[37]}] +set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_csb}] +set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_clk}] +set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_io0}] +set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_io1}] +set_max_fanout $::env(SYNTH_MAX_FANOUT) [current_design] +## Set system monitoring mux select to zero so that the clock/user_clk monitoring is disabled +set_case_analysis 0 [get_pins housekeeping/_4449_/S] +set_case_analysis 0 [get_pins housekeeping/_4450_/S] +## FALSE PATHS (ASYNCHRONOUS INPUTS) +set_false_path -from [get_ports {resetb}] +set_false_path -from [get_ports mprj_io[*]] +set_false_path -from [get_ports gpio] +# TODO set this as parameter +set cap_load [expr $::env(SYNTH_CAP_LOAD) / 1000.0] +puts "\[INFO\]: Setting load to: $cap_load" +[INFO]: Setting load to: 0.033 +set_load $cap_load [all_outputs] +puts "\[INFO\]: Setting timing derate to: [expr {$::env(SYNTH_TIMING_DERATE) * 10}] %" +[INFO]: Setting timing derate to: 0.5 % +set_timing_derate -early [expr {1-$::env(SYNTH_TIMING_DERATE)}] +set_timing_derate -late [expr {1+$::env(SYNTH_TIMING_DERATE)}] +puts "\[INFO\]: Setting clock uncertainity to: $::env(SYNTH_CLOCK_UNCERTAINITY)" +[INFO]: Setting clock uncertainity to: 0.25 +set_clock_uncertainty $::env(SYNTH_CLOCK_UNCERTAINITY) [get_clocks {clock}] +puts "\[INFO\]: Setting clock transition to: $::env(SYNTH_CLOCK_TRANSITION)" +[INFO]: Setting clock transition to: 0.15 +set_clock_transition $::env(SYNTH_CLOCK_TRANSITION) [get_clocks {clock}] +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9640_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.38 0.13 17.34 ^ housekeeping/_9640_/RESET_B (sky130_fd_sc_hd__dfrtp_2) + 17.34 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.50 0.01 5.74 ^ housekeeping/_9640_/CLK (sky130_fd_sc_hd__dfrtp_2) + 0.25 5.99 clock uncertainty + -0.66 5.34 clock reconvergence pessimism + 0.54 5.87 library removal time + 5.87 data required time +----------------------------------------------------------------------------- + 5.87 data required time + -17.34 data arrival time +----------------------------------------------------------------------------- + 11.46 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9759_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.39 0.14 17.34 ^ housekeeping/_9759_/RESET_B (sky130_fd_sc_hd__dfrtp_4) + 17.34 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.50 0.01 5.74 ^ housekeeping/_9759_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.25 5.99 clock uncertainty + -0.66 5.34 clock reconvergence pessimism + 0.54 5.88 library removal time + 5.88 data required time +----------------------------------------------------------------------------- + 5.88 data required time + -17.34 data arrival time +----------------------------------------------------------------------------- + 11.46 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9587_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.39 0.14 17.34 ^ housekeeping/_9587_/RESET_B (sky130_fd_sc_hd__dfrtp_4) + 17.34 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.50 0.01 5.74 ^ housekeeping/_9587_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.25 5.99 clock uncertainty + -0.66 5.34 clock reconvergence pessimism + 0.54 5.88 library removal time + 5.88 data required time +----------------------------------------------------------------------------- + 5.88 data required time + -17.34 data arrival time +----------------------------------------------------------------------------- + 11.47 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9062_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.39 0.14 17.34 ^ housekeeping/_9062_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 17.34 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.50 0.00 5.74 ^ housekeeping/_9062_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 5.99 clock uncertainty + -0.66 5.34 clock reconvergence pessimism + 0.54 5.87 library removal time + 5.87 data required time +----------------------------------------------------------------------------- + 5.87 data required time + -17.34 data arrival time +----------------------------------------------------------------------------- + 11.47 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9119_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.40 0.14 17.35 ^ housekeeping/_9119_/RESET_B (sky130_fd_sc_hd__dfrtp_4) + 17.35 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.50 0.00 5.74 ^ housekeeping/_9119_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.25 5.99 clock uncertainty + -0.66 5.33 clock reconvergence pessimism + 0.54 5.87 library removal time + 5.87 data required time +----------------------------------------------------------------------------- + 5.87 data required time + -17.35 data arrival time +----------------------------------------------------------------------------- + 11.47 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9067_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.40 0.15 17.35 ^ housekeeping/_9067_/RESET_B (sky130_fd_sc_hd__dfrtp_4) + 17.35 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.50 0.00 5.74 ^ housekeeping/_9067_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.25 5.99 clock uncertainty + -0.66 5.34 clock reconvergence pessimism + 0.54 5.88 library removal time + 5.88 data required time +----------------------------------------------------------------------------- + 5.88 data required time + -17.35 data arrival time +----------------------------------------------------------------------------- + 11.47 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9064_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.40 0.15 17.35 ^ housekeeping/_9064_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 17.35 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.50 0.00 5.74 ^ housekeeping/_9064_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 5.99 clock uncertainty + -0.66 5.34 clock reconvergence pessimism + 0.54 5.88 library removal time + 5.88 data required time +----------------------------------------------------------------------------- + 5.88 data required time + -17.35 data arrival time +----------------------------------------------------------------------------- + 11.47 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9060_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.40 0.15 17.35 ^ housekeeping/_9060_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 17.35 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.50 0.00 5.74 ^ housekeeping/_9060_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 5.99 clock uncertainty + -0.66 5.33 clock reconvergence pessimism + 0.54 5.87 library removal time + 5.87 data required time +----------------------------------------------------------------------------- + 5.87 data required time + -17.35 data arrival time +----------------------------------------------------------------------------- + 11.47 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9066_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.40 0.15 17.35 ^ housekeeping/_9066_/RESET_B (sky130_fd_sc_hd__dfrtp_4) + 17.35 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.50 0.00 5.74 ^ housekeeping/_9066_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.25 5.99 clock uncertainty + -0.66 5.33 clock reconvergence pessimism + 0.54 5.88 library removal time + 5.88 data required time +----------------------------------------------------------------------------- + 5.88 data required time + -17.35 data arrival time +----------------------------------------------------------------------------- + 11.48 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9063_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.40 0.15 17.35 ^ housekeeping/_9063_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 17.35 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.50 0.00 5.74 ^ housekeeping/_9063_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 5.99 clock uncertainty + -0.66 5.33 clock reconvergence pessimism + 0.54 5.88 library removal time + 5.88 data required time +----------------------------------------------------------------------------- + 5.88 data required time + -17.35 data arrival time +----------------------------------------------------------------------------- + 11.48 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9068_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.40 0.15 17.35 ^ housekeeping/_9068_/RESET_B (sky130_fd_sc_hd__dfrtp_4) + 17.35 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.50 0.00 5.74 ^ housekeeping/_9068_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.25 5.99 clock uncertainty + -0.66 5.33 clock reconvergence pessimism + 0.54 5.88 library removal time + 5.88 data required time +----------------------------------------------------------------------------- + 5.88 data required time + -17.35 data arrival time +----------------------------------------------------------------------------- + 11.48 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9061_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.40 0.15 17.35 ^ housekeeping/_9061_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 17.35 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.50 0.00 5.74 ^ housekeeping/_9061_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 5.99 clock uncertainty + -0.66 5.33 clock reconvergence pessimism + 0.54 5.88 library removal time + 5.88 data required time +----------------------------------------------------------------------------- + 5.88 data required time + -17.35 data arrival time +----------------------------------------------------------------------------- + 11.48 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9666_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.40 0.15 17.35 ^ housekeeping/_9666_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 17.35 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.50 0.00 5.74 ^ housekeeping/_9666_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 5.99 clock uncertainty + -0.66 5.34 clock reconvergence pessimism + 0.54 5.88 library removal time + 5.88 data required time +----------------------------------------------------------------------------- + 5.88 data required time + -17.35 data arrival time +----------------------------------------------------------------------------- + 11.48 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9665_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.41 0.15 17.35 ^ housekeeping/_9665_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 17.35 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.50 0.00 5.74 ^ housekeeping/_9665_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 5.99 clock uncertainty + -0.66 5.34 clock reconvergence pessimism + 0.54 5.88 library removal time + 5.88 data required time +----------------------------------------------------------------------------- + 5.88 data required time + -17.35 data arrival time +----------------------------------------------------------------------------- + 11.48 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9659_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.41 0.15 17.36 ^ housekeeping/_9659_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 17.36 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.50 0.00 5.74 ^ housekeeping/_9659_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 5.99 clock uncertainty + -0.66 5.34 clock reconvergence pessimism + 0.54 5.88 library removal time + 5.88 data required time +----------------------------------------------------------------------------- + 5.88 data required time + -17.36 data arrival time +----------------------------------------------------------------------------- + 11.48 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9680_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.31 0.07 17.28 ^ housekeeping/_9680_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 17.28 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.73 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.12 4.85 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.05 0.00 4.85 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.19 5.04 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.15 0.00 5.04 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.23 5.28 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.16 0.00 5.28 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.38 0.39 5.67 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.38 0.01 5.68 ^ housekeeping/_9680_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 5.93 clock uncertainty + -0.66 5.27 clock reconvergence pessimism + 0.48 5.75 library removal time + 5.75 data required time +----------------------------------------------------------------------------- + 5.75 data required time + -17.28 data arrival time +----------------------------------------------------------------------------- + 11.53 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9676_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.31 0.08 17.28 ^ housekeeping/_9676_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 17.28 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.73 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.12 4.85 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.05 0.00 4.85 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.19 5.04 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.15 0.00 5.04 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.23 5.28 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.16 0.00 5.28 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.38 0.39 5.67 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.38 0.01 5.68 ^ housekeeping/_9676_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 5.93 clock uncertainty + -0.66 5.27 clock reconvergence pessimism + 0.48 5.75 library removal time + 5.75 data required time +----------------------------------------------------------------------------- + 5.75 data required time + -17.28 data arrival time +----------------------------------------------------------------------------- + 11.53 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9681_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.31 0.08 17.28 ^ housekeeping/_9681_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 17.28 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.73 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.12 4.85 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.05 0.00 4.85 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.19 5.04 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.15 0.00 5.04 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.23 5.28 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.16 0.00 5.28 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.38 0.39 5.67 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.38 0.01 5.68 ^ housekeeping/_9681_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 5.93 clock uncertainty + -0.66 5.27 clock reconvergence pessimism + 0.48 5.75 library removal time + 5.75 data required time +----------------------------------------------------------------------------- + 5.75 data required time + -17.28 data arrival time +----------------------------------------------------------------------------- + 11.53 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9679_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.31 0.08 17.28 ^ housekeeping/_9679_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 17.28 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.73 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.12 4.85 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.05 0.00 4.85 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.19 5.04 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.15 0.00 5.04 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.23 5.28 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.16 0.00 5.28 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.38 0.39 5.67 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.38 0.01 5.68 ^ housekeeping/_9679_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 5.93 clock uncertainty + -0.66 5.27 clock reconvergence pessimism + 0.48 5.75 library removal time + 5.75 data required time +----------------------------------------------------------------------------- + 5.75 data required time + -17.28 data arrival time +----------------------------------------------------------------------------- + 11.53 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9677_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.32 0.08 17.29 ^ housekeeping/_9677_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 17.29 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.73 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.12 4.85 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.05 0.00 4.85 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.19 5.04 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.15 0.00 5.04 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.23 5.28 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.16 0.00 5.28 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.38 0.39 5.67 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.38 0.01 5.68 ^ housekeeping/_9677_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 5.93 clock uncertainty + -0.66 5.27 clock reconvergence pessimism + 0.49 5.75 library removal time + 5.75 data required time +----------------------------------------------------------------------------- + 5.75 data required time + -17.29 data arrival time +----------------------------------------------------------------------------- + 11.53 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9675_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.32 0.08 17.29 ^ housekeeping/_9675_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 17.29 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.73 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.12 4.85 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.05 0.00 4.85 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.19 5.04 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.15 0.00 5.04 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.23 5.28 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.16 0.00 5.28 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.38 0.39 5.67 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.38 0.01 5.68 ^ housekeeping/_9675_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 5.93 clock uncertainty + -0.66 5.27 clock reconvergence pessimism + 0.49 5.76 library removal time + 5.76 data required time +----------------------------------------------------------------------------- + 5.76 data required time + -17.29 data arrival time +----------------------------------------------------------------------------- + 11.53 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9678_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.32 0.09 17.29 ^ housekeeping/_9678_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 17.29 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.73 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.12 4.85 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.05 0.00 4.85 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.19 5.04 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.15 0.00 5.04 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.23 5.28 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.16 0.00 5.28 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.38 0.39 5.67 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.38 0.01 5.68 ^ housekeeping/_9678_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 5.93 clock uncertainty + -0.66 5.27 clock reconvergence pessimism + 0.49 5.76 library removal time + 5.76 data required time +----------------------------------------------------------------------------- + 5.76 data required time + -17.29 data arrival time +----------------------------------------------------------------------------- + 11.54 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9663_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.41 0.15 17.36 ^ housekeeping/_9663_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 17.36 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 5.27 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.41 0.40 5.67 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.41 0.01 5.68 ^ housekeeping/_9663_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 5.93 clock uncertainty + -0.66 5.28 clock reconvergence pessimism + 0.52 5.79 library removal time + 5.79 data required time +----------------------------------------------------------------------------- + 5.79 data required time + -17.36 data arrival time +----------------------------------------------------------------------------- + 11.56 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9664_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.41 0.15 17.36 ^ housekeeping/_9664_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 17.36 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 5.27 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.41 0.40 5.67 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.41 0.01 5.68 ^ housekeeping/_9664_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 5.93 clock uncertainty + -0.66 5.27 clock reconvergence pessimism + 0.52 5.79 library removal time + 5.79 data required time +----------------------------------------------------------------------------- + 5.79 data required time + -17.36 data arrival time +----------------------------------------------------------------------------- + 11.56 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9660_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.41 0.15 17.36 ^ housekeeping/_9660_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 17.36 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 5.27 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.41 0.40 5.67 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.41 0.01 5.68 ^ housekeeping/_9660_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 5.93 clock uncertainty + -0.66 5.28 clock reconvergence pessimism + 0.52 5.79 library removal time + 5.79 data required time +----------------------------------------------------------------------------- + 5.79 data required time + -17.36 data arrival time +----------------------------------------------------------------------------- + 11.56 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9661_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.41 0.15 17.36 ^ housekeeping/_9661_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 17.36 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 5.27 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.41 0.40 5.67 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.41 0.01 5.68 ^ housekeeping/_9661_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 5.93 clock uncertainty + -0.66 5.27 clock reconvergence pessimism + 0.52 5.79 library removal time + 5.79 data required time +----------------------------------------------------------------------------- + 5.79 data required time + -17.36 data arrival time +----------------------------------------------------------------------------- + 11.56 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9662_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.41 0.15 17.36 ^ housekeeping/_9662_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 17.36 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 5.27 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.41 0.40 5.67 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.41 0.01 5.68 ^ housekeeping/_9662_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 5.93 clock uncertainty + -0.66 5.27 clock reconvergence pessimism + 0.52 5.79 library removal time + 5.79 data required time +----------------------------------------------------------------------------- + 5.79 data required time + -17.36 data arrival time +----------------------------------------------------------------------------- + 11.56 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9065_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.39 0.14 17.35 ^ housekeeping/_9065_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 17.35 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 5.27 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.41 0.40 5.67 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.41 0.00 5.67 ^ housekeeping/_9065_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 5.92 clock uncertainty + -0.66 5.26 clock reconvergence pessimism + 0.52 5.78 library removal time + 5.78 data required time +----------------------------------------------------------------------------- + 5.78 data required time + -17.35 data arrival time +----------------------------------------------------------------------------- + 11.57 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9059_ (removal check against rising-edge clock clock) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 1.84 14.34 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.18 15.52 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 15.52 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.15 15.67 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 15.67 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 15.75 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 15.75 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.26 16.02 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.02 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.39 16.40 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 16.41 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 16.48 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 16.48 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.33 16.81 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 16.81 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.06 16.88 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 16.88 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.14 17.02 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.02 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.18 17.20 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.40 0.15 17.35 ^ housekeeping/_9059_/SET_B (sky130_fd_sc_hd__dfstp_1) + 17.35 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 5.27 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.50 0.47 5.74 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.50 0.00 5.74 ^ housekeeping/_9059_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.25 5.99 clock uncertainty + -0.66 5.33 clock reconvergence pessimism + 0.25 5.58 library removal time + 5.58 data required time +----------------------------------------------------------------------------- + 5.58 data required time + -17.35 data arrival time +----------------------------------------------------------------------------- + 11.77 slack (MET) + + +Startpoint: soc/core/_37568_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_36378_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.01 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.14 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.05 0.00 4.14 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.27 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.05 0.00 4.27 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.40 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.05 0.00 4.40 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.11 4.51 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.03 0.00 4.51 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.24 4.75 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.24 0.03 4.78 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.17 4.95 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_0_0_core_clk (net) + 0.03 0.00 4.95 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.46 0.38 5.33 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.15 soc/core/clknet_2_0_1_core_clk (net) + 0.46 0.03 5.36 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.21 5.57 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_0_core_clk (net) + 0.04 0.00 5.57 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 5.68 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_1_core_clk (net) + 0.03 0.00 5.68 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.14 5.82 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.02 soc/core/clknet_3_1_2_core_clk (net) + 0.08 0.00 5.82 ^ soc/core/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.17 6.00 ^ soc/core/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_3_0_core_clk (net) + 0.09 0.00 6.00 ^ soc/core/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 6.13 ^ soc/core/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_7_0_core_clk (net) + 0.04 0.00 6.13 ^ soc/core/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.52 0.43 6.57 ^ soc/core/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 22 0.18 soc/core/clknet_5_7_1_core_clk (net) + 0.52 0.00 6.57 ^ soc/core/clkbuf_leaf_383_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.10 0.28 6.85 ^ soc/core/clkbuf_leaf_383_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 32 0.08 soc/core/clknet_leaf_383_core_clk (net) + 0.10 0.00 6.85 ^ soc/core/_37568_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.09 0.36 7.21 ^ soc/core/_37568_/Q (sky130_fd_sc_hd__dfxtp_2) + 4 0.02 soc/core/VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[0] (net) + 0.09 0.00 7.21 ^ soc/core/_33651_/A0 (sky130_fd_sc_hd__mux2_2) + 0.14 0.23 7.43 ^ soc/core/_33651_/X (sky130_fd_sc_hd__mux2_2) + 2 0.02 soc/core/_01775_ (net) + 0.14 0.00 7.43 ^ soc/core/_33652_/A1 (sky130_fd_sc_hd__mux2_1) + 0.04 0.14 7.58 ^ soc/core/_33652_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/_00033_ (net) + 0.04 0.00 7.58 ^ soc/core/_28173_/A1 (sky130_fd_sc_hd__a21o_1) + 0.03 0.09 7.66 ^ soc/core/_28173_/X (sky130_fd_sc_hd__a21o_1) + 1 0.00 soc/core/_03844_ (net) + 0.03 0.00 7.66 ^ soc/core/_36378_/D (sky130_fd_sc_hd__dfxtp_1) + 7.66 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.22 5.97 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.18 6.15 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.05 0.00 6.15 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.29 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.04 0.00 6.29 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.21 6.50 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_3_4_2_core_clk (net) + 0.14 0.01 6.50 ^ soc/core/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.73 ^ soc/core/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_9_0_core_clk (net) + 0.10 0.00 6.73 ^ soc/core/clkbuf_5_18_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.16 6.89 ^ soc/core/clkbuf_5_18_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_18_0_core_clk (net) + 0.04 0.00 6.89 ^ soc/core/clkbuf_5_18_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.78 0.64 7.52 ^ soc/core/clkbuf_5_18_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.26 soc/core/clknet_5_18_1_core_clk (net) + 0.79 0.09 7.61 ^ soc/core/clkbuf_leaf_373_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.10 0.34 7.94 ^ soc/core/clkbuf_leaf_373_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.07 soc/core/clknet_leaf_373_core_clk (net) + 0.10 0.00 7.95 ^ soc/core/_36378_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 8.20 clock uncertainty + -0.71 7.48 clock reconvergence pessimism + -0.02 7.46 library hold time + 7.46 data required time +----------------------------------------------------------------------------- + 7.46 data required time + -7.66 data arrival time +----------------------------------------------------------------------------- + 0.20 slack (MET) + + +Startpoint: soc/core/_38793_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_38144_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 4.76 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 4.94 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 4.94 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.20 5.14 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.14 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 5.31 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.05 0.00 5.31 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 5.43 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.04 0.00 5.43 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.18 5.61 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.13 0.01 5.61 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.20 5.82 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.11 0.00 5.82 ^ soc/core/clkbuf_5_30_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.96 ^ soc/core/clkbuf_5_30_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_30_0_core_clk (net) + 0.04 0.00 5.96 ^ soc/core/clkbuf_5_30_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.79 0.60 6.56 ^ soc/core/clkbuf_5_30_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.27 soc/core/clknet_5_30_1_core_clk (net) + 0.79 0.03 6.60 ^ soc/core/clkbuf_leaf_171_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.28 6.88 ^ soc/core/clkbuf_leaf_171_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 10 0.04 soc/core/clknet_leaf_171_core_clk (net) + 0.08 0.00 6.88 ^ soc/core/_38793_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.03 0.33 7.21 v soc/core/_38793_/Q (sky130_fd_sc_hd__dfxtp_4) + 2 0.01 soc/core/mgmtsoc_master_rx_fifo_source_payload_data[2] (net) + 0.03 0.00 7.21 v soc/core/hold1910/A (sky130_fd_sc_hd__buf_4) + 0.10 0.18 7.39 v soc/core/hold1910/X (sky130_fd_sc_hd__buf_4) + 2 0.07 soc/core/net4759 (net) + 0.10 0.01 7.40 v soc/core/_22635_/B (sky130_fd_sc_hd__nand3_1) + 0.10 0.14 7.54 ^ soc/core/_22635_/Y (sky130_fd_sc_hd__nand3_1) + 1 0.01 soc/core/_11030_ (net) + 0.10 0.00 7.54 ^ soc/core/_22639_/A1 (sky130_fd_sc_hd__a31oi_4) + 0.06 0.13 7.67 v soc/core/_22639_/Y (sky130_fd_sc_hd__a31oi_4) + 2 0.03 soc/core/_05610_ (net) + 0.06 0.00 7.68 v soc/core/_38144_/D (sky130_fd_sc_hd__dfxtp_1) + 7.68 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.01 6.49 ^ soc/core/clkbuf_4_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.21 6.71 ^ soc/core/clkbuf_4_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_13_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_26_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.86 ^ soc/core/clkbuf_5_26_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_26_0_core_clk (net) + 0.04 0.00 6.86 ^ soc/core/clkbuf_5_26_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.01 0.84 7.70 ^ soc/core/clkbuf_5_26_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.35 soc/core/clknet_5_26_1_core_clk (net) + 1.01 0.03 7.73 ^ soc/core/clkbuf_leaf_146_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.10 0.35 8.09 ^ soc/core/clkbuf_leaf_146_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.07 soc/core/clknet_leaf_146_core_clk (net) + 0.10 0.00 8.09 ^ soc/core/_38144_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 8.34 clock uncertainty + -0.83 7.51 clock reconvergence pessimism + -0.05 7.46 library hold time + 7.46 data required time +----------------------------------------------------------------------------- + 7.46 data required time + -7.68 data arrival time +----------------------------------------------------------------------------- + 0.22 slack (MET) + + +Startpoint: soc/core/_35419_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_35478_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 4.76 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 4.94 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 4.94 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.20 5.14 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.14 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 5.31 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.05 0.00 5.31 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 5.43 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.04 0.00 5.43 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.18 5.61 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.13 0.01 5.61 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.20 5.81 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.10 0.00 5.81 ^ soc/core/clkbuf_5_29_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.95 ^ soc/core/clkbuf_5_29_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_29_0_core_clk (net) + 0.04 0.00 5.95 ^ soc/core/clkbuf_5_29_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.70 0.56 6.51 ^ soc/core/clkbuf_5_29_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.24 soc/core/clknet_5_29_1_core_clk (net) + 0.70 0.01 6.52 ^ soc/core/clkbuf_leaf_283_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.11 0.30 6.82 ^ soc/core/clkbuf_leaf_283_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 30 0.08 soc/core/clknet_leaf_283_core_clk (net) + 0.11 0.00 6.82 ^ soc/core/_35419_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.06 0.32 7.14 ^ soc/core/_35419_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.00 soc/core/VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][27] (net) + 0.06 0.00 7.14 ^ soc/core/_32821_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 7.26 ^ soc/core/_32821_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/VexRiscv.IBusCachedPlugin_cache.ways_0_tags$rdreg[0]$d[27] (net) + 0.05 0.00 7.26 ^ soc/core/_30172_/A1 (sky130_fd_sc_hd__mux2_1) + 0.04 0.11 7.37 ^ soc/core/_30172_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/_15886_ (net) + 0.04 0.00 7.37 ^ soc/core/_30173_/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.07 7.45 ^ soc/core/_30173_/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/_02944_ (net) + 0.04 0.00 7.45 ^ soc/core/_35478_/D (sky130_fd_sc_hd__dfxtp_1) + 7.45 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.22 5.97 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.19 6.16 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_0_core_clk (net) + 0.06 0.00 6.16 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 6.30 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.20 6.49 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_5_2_core_clk (net) + 0.12 0.01 6.50 ^ soc/core/clkbuf_4_11_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.22 6.72 ^ soc/core/clkbuf_4_11_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_11_0_core_clk (net) + 0.11 0.00 6.72 ^ soc/core/clkbuf_5_23_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.16 6.88 ^ soc/core/clkbuf_5_23_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_23_0_core_clk (net) + 0.04 0.00 6.88 ^ soc/core/clkbuf_5_23_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.66 0.58 7.46 ^ soc/core/clkbuf_5_23_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.22 soc/core/clknet_5_23_1_core_clk (net) + 0.66 0.01 7.47 ^ soc/core/clkbuf_leaf_282_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.09 0.31 7.79 ^ soc/core/clkbuf_leaf_282_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 22 0.06 soc/core/clknet_leaf_282_core_clk (net) + 0.09 0.00 7.79 ^ soc/core/_35478_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 8.04 clock uncertainty + -0.79 7.25 clock reconvergence pessimism + -0.02 7.23 library hold time + 7.23 data required time +----------------------------------------------------------------------------- + 7.23 data required time + -7.45 data arrival time +----------------------------------------------------------------------------- + 0.22 slack (MET) + + +Startpoint: soc/core/_38376_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_38192_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 4.76 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 4.94 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 4.94 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.20 5.14 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.14 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 5.31 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 5.31 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 5.43 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 5.44 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.18 5.61 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 5.61 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.20 5.81 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 5.81 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.95 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.04 0.00 5.95 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.64 6.59 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.84 0.03 6.62 ^ soc/core/clkbuf_leaf_126_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.10 0.31 6.93 ^ soc/core/clkbuf_leaf_126_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.07 soc/core/clknet_leaf_126_core_clk (net) + 0.10 0.00 6.93 ^ soc/core/_38376_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.06 0.34 7.28 v soc/core/_38376_/Q (sky130_fd_sc_hd__dfxtp_2) + 5 0.02 soc/core/mgmtsoc_bus_errors[18] (net) + 0.06 0.00 7.28 v soc/core/hold1504/A (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.09 0.18 7.46 v soc/core/hold1504/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 1 0.02 soc/core/net4353 (net) + 0.09 0.00 7.46 v soc/core/_22466_/B (sky130_fd_sc_hd__nand3_2) + 0.09 0.12 7.58 ^ soc/core/_22466_/Y (sky130_fd_sc_hd__nand3_2) + 1 0.01 soc/core/_10909_ (net) + 0.09 0.00 7.58 ^ soc/core/_22467_/A2 (sky130_fd_sc_hd__a21oi_1) + 0.05 0.07 7.65 v soc/core/_22467_/Y (sky130_fd_sc_hd__a21oi_1) + 1 0.00 soc/core/_05658_ (net) + 0.05 0.00 7.65 v soc/core/_38192_/D (sky130_fd_sc_hd__dfxtp_1) + 7.65 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.01 6.49 ^ soc/core/clkbuf_4_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.21 6.71 ^ soc/core/clkbuf_4_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_13_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_26_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.86 ^ soc/core/clkbuf_5_26_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_26_0_core_clk (net) + 0.04 0.00 6.86 ^ soc/core/clkbuf_5_26_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.01 0.84 7.70 ^ soc/core/clkbuf_5_26_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.35 soc/core/clknet_5_26_1_core_clk (net) + 1.01 0.04 7.74 ^ soc/core/clkbuf_leaf_145_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.11 0.36 8.10 ^ soc/core/clkbuf_leaf_145_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_145_core_clk (net) + 0.11 0.00 8.10 ^ soc/core/_38192_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 8.35 clock uncertainty + -0.88 7.47 clock reconvergence pessimism + -0.04 7.43 library hold time + 7.43 data required time +----------------------------------------------------------------------------- + 7.43 data required time + -7.65 data arrival time +----------------------------------------------------------------------------- + 0.22 slack (MET) + + +Startpoint: soc/core/_35415_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_35474_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 4.76 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 4.94 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 4.94 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.20 5.14 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.14 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 5.31 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.05 0.00 5.31 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 5.43 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.04 0.00 5.43 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.18 5.61 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.13 0.01 5.61 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.20 5.81 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.10 0.00 5.81 ^ soc/core/clkbuf_5_29_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.95 ^ soc/core/clkbuf_5_29_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_29_0_core_clk (net) + 0.04 0.00 5.95 ^ soc/core/clkbuf_5_29_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.70 0.56 6.51 ^ soc/core/clkbuf_5_29_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.24 soc/core/clknet_5_29_1_core_clk (net) + 0.71 0.03 6.54 ^ soc/core/clkbuf_leaf_285_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.10 0.30 6.84 ^ soc/core/clkbuf_leaf_285_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 30 0.08 soc/core/clknet_leaf_285_core_clk (net) + 0.10 0.00 6.84 ^ soc/core/_35415_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.06 0.32 7.16 ^ soc/core/_35415_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.00 soc/core/VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][23] (net) + 0.06 0.00 7.16 ^ soc/core/_32817_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 7.28 ^ soc/core/_32817_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/VexRiscv.IBusCachedPlugin_cache.ways_0_tags$rdreg[0]$d[23] (net) + 0.05 0.00 7.28 ^ soc/core/_30180_/A1 (sky130_fd_sc_hd__mux2_1) + 0.04 0.12 7.39 ^ soc/core/_30180_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/_15890_ (net) + 0.04 0.00 7.39 ^ soc/core/_30181_/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.07 7.46 ^ soc/core/_30181_/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/_02940_ (net) + 0.04 0.00 7.46 ^ soc/core/_35474_/D (sky130_fd_sc_hd__dfxtp_1) + 7.46 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.22 5.97 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.19 6.16 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_0_core_clk (net) + 0.06 0.00 6.16 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 6.30 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.20 6.49 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_5_2_core_clk (net) + 0.12 0.01 6.50 ^ soc/core/clkbuf_4_11_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.22 6.72 ^ soc/core/clkbuf_4_11_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_11_0_core_clk (net) + 0.11 0.00 6.72 ^ soc/core/clkbuf_5_23_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.16 6.88 ^ soc/core/clkbuf_5_23_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_23_0_core_clk (net) + 0.04 0.00 6.88 ^ soc/core/clkbuf_5_23_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.66 0.58 7.46 ^ soc/core/clkbuf_5_23_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.22 soc/core/clknet_5_23_1_core_clk (net) + 0.66 0.03 7.50 ^ soc/core/clkbuf_leaf_286_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.30 7.80 ^ soc/core/clkbuf_leaf_286_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 16 0.04 soc/core/clknet_leaf_286_core_clk (net) + 0.08 0.00 7.80 ^ soc/core/_35474_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 8.05 clock uncertainty + -0.79 7.26 clock reconvergence pessimism + -0.03 7.24 library hold time + 7.24 data required time +----------------------------------------------------------------------------- + 7.24 data required time + -7.46 data arrival time +----------------------------------------------------------------------------- + 0.22 slack (MET) + + +Startpoint: soc/core/_36721_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_36723_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 4.76 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 4.94 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 4.94 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.20 5.14 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.14 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 5.31 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.05 0.00 5.31 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 5.43 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.04 0.00 5.43 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.18 5.61 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.13 0.01 5.61 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.20 5.81 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.10 0.00 5.81 ^ soc/core/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.96 ^ soc/core/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_28_0_core_clk (net) + 0.04 0.00 5.96 ^ soc/core/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.75 0.59 6.54 ^ soc/core/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.26 soc/core/clknet_5_28_1_core_clk (net) + 0.76 0.02 6.57 ^ soc/core/clkbuf_leaf_232_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.28 6.85 ^ soc/core/clkbuf_leaf_232_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 16 0.05 soc/core/clknet_leaf_232_core_clk (net) + 0.08 0.00 6.85 ^ soc/core/_36721_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.15 0.39 7.24 ^ soc/core/_36721_/Q (sky130_fd_sc_hd__dfxtp_2) + 5 0.03 soc/core/mgmtsoc_litespisdrphycore_sr_in[18] (net) + 0.15 0.00 7.25 ^ soc/core/_33731_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.14 7.38 ^ soc/core/_33731_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/_02782_ (net) + 0.04 0.00 7.38 ^ soc/core/_27215_/A1 (sky130_fd_sc_hd__mux2_1) + 0.04 0.11 7.49 ^ soc/core/_27215_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/_14181_ (net) + 0.04 0.00 7.49 ^ soc/core/_27216_/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.07 7.57 ^ soc/core/_27216_/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/_04189_ (net) + 0.04 0.00 7.57 ^ soc/core/_36723_/D (sky130_fd_sc_hd__dfxtp_1) + 7.57 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.81 0.68 7.54 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.28 soc/core/clknet_5_25_1_core_clk (net) + 0.82 0.06 7.60 ^ soc/core/clkbuf_leaf_233_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.10 0.34 7.94 ^ soc/core/clkbuf_leaf_233_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 22 0.07 soc/core/clknet_leaf_233_core_clk (net) + 0.10 0.00 7.94 ^ soc/core/_36723_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 8.19 clock uncertainty + -0.83 7.36 clock reconvergence pessimism + -0.02 7.34 library hold time + 7.34 data required time +----------------------------------------------------------------------------- + 7.34 data required time + -7.57 data arrival time +----------------------------------------------------------------------------- + 0.23 slack (MET) + + +Startpoint: soc/core/_38394_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_38396_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 4.76 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 4.94 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 4.94 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.20 5.14 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.14 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 5.31 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.05 0.00 5.31 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 5.43 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.04 0.00 5.43 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.18 5.61 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.13 0.01 5.61 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.20 5.82 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.11 0.00 5.82 ^ soc/core/clkbuf_5_30_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.96 ^ soc/core/clkbuf_5_30_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_30_0_core_clk (net) + 0.04 0.00 5.96 ^ soc/core/clkbuf_5_30_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.79 0.60 6.56 ^ soc/core/clkbuf_5_30_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.27 soc/core/clknet_5_30_1_core_clk (net) + 0.79 0.02 6.58 ^ soc/core/clkbuf_leaf_173_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.07 0.27 6.85 ^ soc/core/clkbuf_leaf_173_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 6 0.03 soc/core/clknet_leaf_173_core_clk (net) + 0.07 0.00 6.85 ^ soc/core/_38394_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.08 0.38 7.23 v soc/core/_38394_/Q (sky130_fd_sc_hd__dfxtp_4) + 9 0.05 soc/core/litespi_state[1] (net) + 0.08 0.00 7.23 v soc/core/_21775_/A (sky130_fd_sc_hd__and2_1) + 0.04 0.15 7.38 v soc/core/_21775_/X (sky130_fd_sc_hd__and2_1) + 1 0.00 soc/core/_10425_ (net) + 0.04 0.00 7.38 v soc/core/_21776_/A (sky130_fd_sc_hd__inv_2) + 0.07 0.07 7.45 ^ soc/core/_21776_/Y (sky130_fd_sc_hd__inv_2) + 3 0.01 soc/core/_10426_ (net) + 0.07 0.00 7.45 ^ soc/core/_21777_/A3 (sky130_fd_sc_hd__o311ai_1) + 0.06 0.08 7.53 v soc/core/_21777_/Y (sky130_fd_sc_hd__o311ai_1) + 1 0.00 soc/core/_10427_ (net) + 0.06 0.00 7.53 v soc/core/_21778_/C1 (sky130_fd_sc_hd__o211a_1) + 0.03 0.10 7.63 v soc/core/_21778_/X (sky130_fd_sc_hd__o211a_1) + 1 0.00 soc/core/_05862_ (net) + 0.03 0.00 7.63 v soc/core/_38396_/D (sky130_fd_sc_hd__dfxtp_4) + 7.63 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.01 6.49 ^ soc/core/clkbuf_4_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.21 6.71 ^ soc/core/clkbuf_4_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_13_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_27_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.86 ^ soc/core/clkbuf_5_27_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_27_0_core_clk (net) + 0.04 0.00 6.86 ^ soc/core/clkbuf_5_27_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.91 0.76 7.62 ^ soc/core/clkbuf_5_27_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 36 0.31 soc/core/clknet_5_27_1_core_clk (net) + 0.91 0.03 7.65 ^ soc/core/clkbuf_leaf_172_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.11 0.35 8.01 ^ soc/core/clkbuf_leaf_172_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.08 soc/core/clknet_leaf_172_core_clk (net) + 0.11 0.00 8.01 ^ soc/core/_38396_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.25 8.26 clock uncertainty + -0.83 7.43 clock reconvergence pessimism + -0.03 7.40 library hold time + 7.40 data required time +----------------------------------------------------------------------------- + 7.40 data required time + -7.63 data arrival time +----------------------------------------------------------------------------- + 0.23 slack (MET) + + +Startpoint: soc/core/_36721_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_36722_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 4.76 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 4.94 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 4.94 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.20 5.14 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.14 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 5.31 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.05 0.00 5.31 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 5.43 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.04 0.00 5.43 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.18 5.61 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.13 0.01 5.61 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.20 5.81 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.10 0.00 5.81 ^ soc/core/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.96 ^ soc/core/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_28_0_core_clk (net) + 0.04 0.00 5.96 ^ soc/core/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.75 0.59 6.54 ^ soc/core/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.26 soc/core/clknet_5_28_1_core_clk (net) + 0.76 0.02 6.57 ^ soc/core/clkbuf_leaf_232_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.28 6.85 ^ soc/core/clkbuf_leaf_232_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 16 0.05 soc/core/clknet_leaf_232_core_clk (net) + 0.08 0.00 6.85 ^ soc/core/_36721_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.15 0.39 7.24 ^ soc/core/_36721_/Q (sky130_fd_sc_hd__dfxtp_2) + 5 0.03 soc/core/mgmtsoc_litespisdrphycore_sr_in[18] (net) + 0.15 0.00 7.24 ^ soc/core/_33732_/A1 (sky130_fd_sc_hd__mux2_1) + 0.04 0.15 7.39 ^ soc/core/_33732_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/_02780_ (net) + 0.04 0.00 7.39 ^ soc/core/_27217_/A1 (sky130_fd_sc_hd__mux2_1) + 0.04 0.11 7.50 ^ soc/core/_27217_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/_14182_ (net) + 0.04 0.00 7.50 ^ soc/core/_27218_/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.07 7.57 ^ soc/core/_27218_/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/_04188_ (net) + 0.04 0.00 7.57 ^ soc/core/_36722_/D (sky130_fd_sc_hd__dfxtp_2) + 7.57 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.81 0.68 7.54 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.28 soc/core/clknet_5_25_1_core_clk (net) + 0.82 0.06 7.60 ^ soc/core/clkbuf_leaf_233_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.10 0.34 7.94 ^ soc/core/clkbuf_leaf_233_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 22 0.07 soc/core/clknet_leaf_233_core_clk (net) + 0.10 0.00 7.94 ^ soc/core/_36722_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.25 8.19 clock uncertainty + -0.83 7.36 clock reconvergence pessimism + -0.02 7.34 library hold time + 7.34 data required time +----------------------------------------------------------------------------- + 7.34 data required time + -7.57 data arrival time +----------------------------------------------------------------------------- + 0.24 slack (MET) + + +Startpoint: soc/core/_39133_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_38050_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 4.76 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 4.94 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 4.94 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.20 5.14 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.14 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 5.31 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 5.31 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 5.43 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 5.44 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.18 5.61 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 5.61 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.20 5.81 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 5.81 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.95 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.04 0.00 5.95 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.64 6.59 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.84 0.01 6.60 ^ soc/core/clkbuf_leaf_127_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.09 0.30 6.90 ^ soc/core/clkbuf_leaf_127_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 20 0.06 soc/core/clknet_leaf_127_core_clk (net) + 0.09 0.00 6.90 ^ soc/core/_39133_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.09 0.35 7.25 v soc/core/_39133_/Q (sky130_fd_sc_hd__dfxtp_1) + 3 0.02 soc/core/gpioin0_enable_storage (net) + 0.09 0.00 7.25 v soc/core/_23910_/A2 (sky130_fd_sc_hd__a32oi_4) + 0.13 0.23 7.48 ^ soc/core/_23910_/Y (sky130_fd_sc_hd__a32oi_4) + 1 0.01 soc/core/_12211_ (net) + 0.13 0.00 7.48 ^ soc/core/_23913_/A2 (sky130_fd_sc_hd__a41oi_2) + 0.05 0.16 7.63 v soc/core/_23913_/Y (sky130_fd_sc_hd__a41oi_2) + 1 0.01 soc/core/_05516_ (net) + 0.05 0.00 7.64 v soc/core/_38050_/D (sky130_fd_sc_hd__dfxtp_1) + 7.64 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.01 6.49 ^ soc/core/clkbuf_4_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.21 6.71 ^ soc/core/clkbuf_4_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_13_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_26_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.86 ^ soc/core/clkbuf_5_26_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_26_0_core_clk (net) + 0.04 0.00 6.86 ^ soc/core/clkbuf_5_26_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.01 0.84 7.70 ^ soc/core/clkbuf_5_26_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.35 soc/core/clknet_5_26_1_core_clk (net) + 1.01 0.01 7.71 ^ soc/core/clkbuf_leaf_136_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.11 0.36 8.07 ^ soc/core/clkbuf_leaf_136_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 28 0.07 soc/core/clknet_leaf_136_core_clk (net) + 0.11 0.00 8.07 ^ soc/core/_38050_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 8.32 clock uncertainty + -0.88 7.44 clock reconvergence pessimism + -0.04 7.40 library hold time + 7.40 data required time +----------------------------------------------------------------------------- + 7.40 data required time + -7.64 data arrival time +----------------------------------------------------------------------------- + 0.24 slack (MET) + + +Startpoint: housekeeping/_9014_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9014_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 3.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 4.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.10 4.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 4.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 4.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 4.31 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.20 4.50 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.13 0.00 4.50 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.23 0.25 4.75 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net) + 0.23 0.00 4.75 ^ housekeeping/_9014_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.07 0.36 5.12 ^ housekeeping/_9014_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.01 housekeeping/net328 (net) + 0.07 0.00 5.12 ^ housekeeping/_6982_/B1 (sky130_fd_sc_hd__o22a_1) + 0.04 0.12 5.23 ^ housekeeping/_6982_/X (sky130_fd_sc_hd__o22a_1) + 1 0.00 housekeeping/_0264_ (net) + 0.04 0.00 5.23 ^ housekeeping/_9014_/D (sky130_fd_sc_hd__dfxtp_1) + 5.23 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 5.05 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.22 5.26 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.13 0.00 5.27 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.23 0.27 5.54 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net) + 0.23 0.00 5.54 ^ housekeeping/_9014_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 5.79 clock uncertainty + -0.79 5.00 clock reconvergence pessimism + -0.01 5.00 library hold time + 5.00 data required time +----------------------------------------------------------------------------- + 5.00 data required time + -5.23 data arrival time +----------------------------------------------------------------------------- + 0.24 slack (MET) + + +Startpoint: housekeeping/_9025_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9025_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 3.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 4.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.10 4.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 4.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 4.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 4.31 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.20 4.50 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.13 0.00 4.50 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.23 0.25 4.75 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net) + 0.23 0.00 4.75 ^ housekeeping/_9025_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.07 0.36 5.12 ^ housekeeping/_9025_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.01 housekeeping/net354 (net) + 0.07 0.00 5.12 ^ housekeeping/_6967_/B1 (sky130_fd_sc_hd__o22a_1) + 0.04 0.12 5.24 ^ housekeeping/_6967_/X (sky130_fd_sc_hd__o22a_1) + 1 0.00 housekeeping/_0275_ (net) + 0.04 0.00 5.24 ^ housekeeping/_9025_/D (sky130_fd_sc_hd__dfxtp_1) + 5.24 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 5.05 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.22 5.26 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.13 0.00 5.27 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.23 0.27 5.54 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net) + 0.23 0.00 5.54 ^ housekeeping/_9025_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 5.79 clock uncertainty + -0.79 5.00 clock reconvergence pessimism + -0.01 5.00 library hold time + 5.00 data required time +----------------------------------------------------------------------------- + 5.00 data required time + -5.24 data arrival time +----------------------------------------------------------------------------- + 0.24 slack (MET) + + +Startpoint: soc/core/_39118_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_39119_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 4.76 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 4.94 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 4.94 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.20 5.14 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.14 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 5.31 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.05 0.00 5.31 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 5.43 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.04 0.00 5.43 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.18 5.61 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.13 0.01 5.61 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.20 5.81 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.10 0.00 5.81 ^ soc/core/clkbuf_5_29_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.95 ^ soc/core/clkbuf_5_29_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_29_0_core_clk (net) + 0.04 0.00 5.95 ^ soc/core/clkbuf_5_29_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.70 0.56 6.51 ^ soc/core/clkbuf_5_29_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.24 soc/core/clknet_5_29_1_core_clk (net) + 0.70 0.01 6.52 ^ soc/core/clkbuf_leaf_212_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.10 0.30 6.82 ^ soc/core/clkbuf_leaf_212_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 28 0.07 soc/core/clknet_leaf_212_core_clk (net) + 0.10 0.00 6.82 ^ soc/core/_39118_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.06 0.32 7.14 ^ soc/core/_39118_/Q (sky130_fd_sc_hd__dfxtp_1) + 1 0.01 soc/core/count[16] (net) + 0.06 0.00 7.14 ^ soc/core/_16941_/A (sky130_fd_sc_hd__inv_2) + 0.03 0.04 7.18 v soc/core/_16941_/Y (sky130_fd_sc_hd__inv_2) + 4 0.01 soc/core/_07006_ (net) + 0.03 0.00 7.18 v soc/core/_16943_/C (sky130_fd_sc_hd__and4_2) + 0.08 0.25 7.44 v soc/core/_16943_/X (sky130_fd_sc_hd__and4_2) + 4 0.02 soc/core/_07008_ (net) + 0.08 0.00 7.44 v soc/core/_19486_/A (sky130_fd_sc_hd__inv_2) + 0.03 0.05 7.49 ^ soc/core/_19486_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/_08990_ (net) + 0.03 0.00 7.49 ^ soc/core/_19488_/B (sky130_fd_sc_hd__nand3_1) + 0.06 0.07 7.56 v soc/core/_19488_/Y (sky130_fd_sc_hd__nand3_1) + 1 0.00 soc/core/_06585_ (net) + 0.06 0.00 7.56 v soc/core/_39119_/D (sky130_fd_sc_hd__dfxtp_1) + 7.56 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.18 6.16 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.29 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.04 0.00 6.29 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.20 6.49 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.13 0.01 6.49 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.22 6.72 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.11 0.00 6.72 ^ soc/core/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_31_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.93 0.76 7.64 ^ soc/core/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 38 0.32 soc/core/clknet_5_31_1_core_clk (net) + 0.93 0.01 7.65 ^ soc/core/clkbuf_leaf_206_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.10 0.34 8.00 ^ soc/core/clkbuf_leaf_206_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.06 soc/core/clknet_leaf_206_core_clk (net) + 0.10 0.00 8.00 ^ soc/core/_39119_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 8.25 clock uncertainty + -0.88 7.37 clock reconvergence pessimism + -0.05 7.32 library hold time + 7.32 data required time +----------------------------------------------------------------------------- + 7.32 data required time + -7.56 data arrival time +----------------------------------------------------------------------------- + 0.24 slack (MET) + + +Startpoint: soc/core/_37941_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_38228_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 4.76 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 4.94 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 4.94 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.20 5.14 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.14 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 5.31 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.05 0.00 5.31 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 5.43 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.04 0.00 5.43 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.18 5.61 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.13 0.01 5.61 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.20 5.81 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.10 0.00 5.81 ^ soc/core/clkbuf_5_29_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.95 ^ soc/core/clkbuf_5_29_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_29_0_core_clk (net) + 0.04 0.00 5.95 ^ soc/core/clkbuf_5_29_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.70 0.56 6.51 ^ soc/core/clkbuf_5_29_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.24 soc/core/clknet_5_29_1_core_clk (net) + 0.71 0.02 6.53 ^ soc/core/clkbuf_leaf_216_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.11 0.30 6.84 ^ soc/core/clkbuf_leaf_216_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 32 0.08 soc/core/clknet_leaf_216_core_clk (net) + 0.11 0.00 6.84 ^ soc/core/_37941_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.11 0.41 7.25 v soc/core/_37941_/Q (sky130_fd_sc_hd__dfxtp_4) + 14 0.07 soc/core/uartwishbonebridge_rs232phyrx_state (net) + 0.11 0.00 7.25 v soc/core/hold1495/A (sky130_fd_sc_hd__buf_4) + 0.08 0.19 7.44 v soc/core/hold1495/X (sky130_fd_sc_hd__buf_4) + 4 0.05 soc/core/net4344 (net) + 0.08 0.01 7.45 v soc/core/_22375_/A2 (sky130_fd_sc_hd__a31oi_1) + 0.08 0.15 7.61 ^ soc/core/_22375_/Y (sky130_fd_sc_hd__a31oi_1) + 1 0.00 soc/core/_05694_ (net) + 0.08 0.00 7.61 ^ soc/core/_38228_/D (sky130_fd_sc_hd__dfxtp_1) + 7.61 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.22 5.97 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.19 6.16 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_0_core_clk (net) + 0.06 0.00 6.16 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 6.30 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.20 6.49 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_5_2_core_clk (net) + 0.12 0.01 6.50 ^ soc/core/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.21 6.71 ^ soc/core/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_10_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.86 ^ soc/core/clkbuf_5_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_21_0_core_clk (net) + 0.04 0.00 6.86 ^ soc/core/clkbuf_5_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.85 0.72 7.59 ^ soc/core/clkbuf_5_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 36 0.29 soc/core/clknet_5_21_1_core_clk (net) + 0.85 0.02 7.61 ^ soc/core/clkbuf_leaf_307_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.09 0.33 7.94 ^ soc/core/clkbuf_leaf_307_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 20 0.05 soc/core/clknet_leaf_307_core_clk (net) + 0.09 0.00 7.94 ^ soc/core/_38228_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 8.19 clock uncertainty + -0.79 7.40 clock reconvergence pessimism + -0.03 7.37 library hold time + 7.37 data required time +----------------------------------------------------------------------------- + 7.37 data required time + -7.61 data arrival time +----------------------------------------------------------------------------- + 0.24 slack (MET) + + +Startpoint: housekeeping/_9026_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9026_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 3.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 4.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.10 4.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 4.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 4.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 4.31 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.20 4.50 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.13 0.00 4.50 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.23 0.25 4.75 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net) + 0.23 0.00 4.75 ^ housekeeping/_9026_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.07 0.37 5.12 ^ housekeeping/_9026_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.01 housekeeping/net355 (net) + 0.07 0.00 5.12 ^ housekeeping/_6966_/B1 (sky130_fd_sc_hd__o22a_1) + 0.04 0.12 5.24 ^ housekeeping/_6966_/X (sky130_fd_sc_hd__o22a_1) + 1 0.00 housekeeping/_0276_ (net) + 0.04 0.00 5.24 ^ housekeeping/_9026_/D (sky130_fd_sc_hd__dfxtp_1) + 5.24 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 5.05 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.22 5.26 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.13 0.00 5.27 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.23 0.27 5.54 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net) + 0.23 0.00 5.54 ^ housekeeping/_9026_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 5.79 clock uncertainty + -0.79 5.00 clock reconvergence pessimism + -0.01 5.00 library hold time + 5.00 data required time +----------------------------------------------------------------------------- + 5.00 data required time + -5.24 data arrival time +----------------------------------------------------------------------------- + 0.24 slack (MET) + + +Startpoint: soc/core/_36460_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_38053_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 4.76 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 4.94 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 4.94 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.20 5.14 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.14 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 5.31 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.05 0.00 5.31 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 5.43 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.04 0.00 5.43 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.18 5.61 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.13 0.01 5.61 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.20 5.82 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.11 0.00 5.82 ^ soc/core/clkbuf_5_30_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.96 ^ soc/core/clkbuf_5_30_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_30_0_core_clk (net) + 0.04 0.00 5.96 ^ soc/core/clkbuf_5_30_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.79 0.60 6.56 ^ soc/core/clkbuf_5_30_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.27 soc/core/clknet_5_30_1_core_clk (net) + 0.79 0.02 6.59 ^ soc/core/clkbuf_leaf_200_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.11 0.31 6.90 ^ soc/core/clkbuf_leaf_200_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 28 0.08 soc/core/clknet_leaf_200_core_clk (net) + 0.11 0.00 6.90 ^ soc/core/_36460_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.13 0.43 7.33 v soc/core/_36460_/Q (sky130_fd_sc_hd__dfxtp_4) + 4 0.09 soc/core/memdat_3[2] (net) + 0.13 0.01 7.33 v soc/core/_23889_/C (sky130_fd_sc_hd__and4_1) + 0.07 0.25 7.59 v soc/core/_23889_/X (sky130_fd_sc_hd__and4_1) + 1 0.01 soc/core/_12193_ (net) + 0.07 0.00 7.59 v soc/core/_23890_/A (sky130_fd_sc_hd__clkbuf_1) + 0.03 0.09 7.68 v soc/core/_23890_/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/_05519_ (net) + 0.03 0.00 7.68 v soc/core/_38053_/D (sky130_fd_sc_hd__dfxtp_1) + 7.68 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.01 6.49 ^ soc/core/clkbuf_4_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.21 6.71 ^ soc/core/clkbuf_4_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_13_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_26_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.86 ^ soc/core/clkbuf_5_26_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_26_0_core_clk (net) + 0.04 0.00 6.86 ^ soc/core/clkbuf_5_26_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 1.01 0.84 7.70 ^ soc/core/clkbuf_5_26_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.35 soc/core/clknet_5_26_1_core_clk (net) + 1.01 0.04 7.74 ^ soc/core/clkbuf_leaf_141_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.07 0.32 8.06 ^ soc/core/clkbuf_leaf_141_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 8 0.03 soc/core/clknet_leaf_141_core_clk (net) + 0.07 0.00 8.06 ^ soc/core/_38053_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 8.31 clock uncertainty + -0.83 7.48 clock reconvergence pessimism + -0.04 7.44 library hold time + 7.44 data required time +----------------------------------------------------------------------------- + 7.44 data required time + -7.68 data arrival time +----------------------------------------------------------------------------- + 0.24 slack (MET) + + +Startpoint: soc/core/_36464_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_38057_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 4.76 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 4.94 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 4.94 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.20 5.14 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.14 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 5.31 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.05 0.00 5.31 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 5.43 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.04 0.00 5.43 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.18 5.61 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.13 0.01 5.61 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.20 5.82 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.11 0.00 5.82 ^ soc/core/clkbuf_5_30_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.96 ^ soc/core/clkbuf_5_30_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_30_0_core_clk (net) + 0.04 0.00 5.96 ^ soc/core/clkbuf_5_30_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.79 0.60 6.56 ^ soc/core/clkbuf_5_30_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.27 soc/core/clknet_5_30_1_core_clk (net) + 0.79 0.03 6.60 ^ soc/core/clkbuf_leaf_201_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.11 0.31 6.91 ^ soc/core/clkbuf_leaf_201_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 34 0.08 soc/core/clknet_leaf_201_core_clk (net) + 0.11 0.00 6.91 ^ soc/core/_36464_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.12 0.41 7.32 v soc/core/_36464_/Q (sky130_fd_sc_hd__dfxtp_4) + 4 0.08 soc/core/memdat_3[6] (net) + 0.13 0.02 7.35 v soc/core/_23881_/C (sky130_fd_sc_hd__and4_4) + 0.08 0.26 7.60 v soc/core/_23881_/X (sky130_fd_sc_hd__and4_4) + 2 0.05 soc/core/_12189_ (net) + 0.08 0.01 7.61 v soc/core/_23882_/A (sky130_fd_sc_hd__clkbuf_1) + 0.03 0.10 7.71 v soc/core/_23882_/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/_05523_ (net) + 0.03 0.00 7.71 v soc/core/_38057_/D (sky130_fd_sc_hd__dfxtp_1) + 7.71 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.05 0.00 4.86 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 5.01 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.05 0.00 5.01 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.15 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.05 0.00 5.15 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 5.27 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.03 0.00 5.27 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.27 5.54 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.24 0.03 5.57 ^ soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 5.76 ^ soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 5.76 ^ soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.22 5.98 ^ soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.15 0.00 5.98 ^ soc/core/clkbuf_3_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.19 6.17 ^ soc/core/clkbuf_3_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_3_0_core_clk (net) + 0.06 0.00 6.17 ^ soc/core/clkbuf_3_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 6.30 ^ soc/core/clkbuf_3_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_3_1_core_clk (net) + 0.04 0.00 6.31 ^ soc/core/clkbuf_3_3_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.22 6.52 ^ soc/core/clkbuf_3_3_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_3_3_2_core_clk (net) + 0.15 0.01 6.53 ^ soc/core/clkbuf_4_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.24 6.77 ^ soc/core/clkbuf_4_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_4_6_0_core_clk (net) + 0.12 0.00 6.77 ^ soc/core/clkbuf_5_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.16 6.93 ^ soc/core/clkbuf_5_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_13_0_core_clk (net) + 0.04 0.00 6.93 ^ soc/core/clkbuf_5_13_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.80 0.66 7.59 ^ soc/core/clkbuf_5_13_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.27 soc/core/clknet_5_13_1_core_clk (net) + 0.80 0.05 7.64 ^ soc/core/clkbuf_leaf_92_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.09 0.32 7.97 ^ soc/core/clkbuf_leaf_92_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 16 0.05 soc/core/clknet_leaf_92_core_clk (net) + 0.09 0.00 7.97 ^ soc/core/_38057_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 8.22 clock uncertainty + -0.71 7.51 clock reconvergence pessimism + -0.04 7.47 library hold time + 7.47 data required time +----------------------------------------------------------------------------- + 7.47 data required time + -7.71 data arrival time +----------------------------------------------------------------------------- + 0.24 slack (MET) + + +Startpoint: soc/core/_38764_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_38753_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.01 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.14 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.05 0.00 4.14 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.27 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.05 0.00 4.27 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.40 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.05 0.00 4.40 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.11 4.51 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.03 0.00 4.51 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.24 4.75 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.24 0.03 4.78 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.17 4.95 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_0_0_core_clk (net) + 0.03 0.00 4.95 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.46 0.38 5.33 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.15 soc/core/clknet_2_0_1_core_clk (net) + 0.46 0.03 5.36 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.21 5.57 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_0_core_clk (net) + 0.04 0.00 5.57 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 5.68 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_1_core_clk (net) + 0.03 0.00 5.68 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.14 5.82 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.02 soc/core/clknet_3_1_2_core_clk (net) + 0.08 0.00 5.82 ^ soc/core/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.17 6.00 ^ soc/core/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_3_0_core_clk (net) + 0.09 0.00 6.00 ^ soc/core/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 6.13 ^ soc/core/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_7_0_core_clk (net) + 0.04 0.00 6.13 ^ soc/core/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.52 0.43 6.57 ^ soc/core/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 22 0.18 soc/core/clknet_5_7_1_core_clk (net) + 0.52 0.02 6.59 ^ soc/core/clkbuf_leaf_115_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.11 0.28 6.87 ^ soc/core/clkbuf_leaf_115_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 32 0.09 soc/core/clknet_leaf_115_core_clk (net) + 0.11 0.00 6.88 ^ soc/core/_38764_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.27 0.49 7.37 ^ soc/core/_38764_/Q (sky130_fd_sc_hd__dfxtp_4) + 10 0.09 soc/core/VexRiscv.DebugPlugin_stepIt (net) + 0.27 0.02 7.39 ^ soc/core/_20631_/A1 (sky130_fd_sc_hd__a2111oi_2) + 0.04 0.13 7.52 v soc/core/_20631_/Y (sky130_fd_sc_hd__a2111oi_2) + 1 0.01 soc/core/_09726_ (net) + 0.04 0.00 7.52 v soc/core/_20632_/C (sky130_fd_sc_hd__nand3b_1) + 0.10 0.10 7.63 ^ soc/core/_20632_/Y (sky130_fd_sc_hd__nand3b_1) + 2 0.01 soc/core/_09727_ (net) + 0.10 0.00 7.63 ^ soc/core/_20682_/A2 (sky130_fd_sc_hd__a21oi_1) + 0.04 0.07 7.69 v soc/core/_20682_/Y (sky130_fd_sc_hd__a21oi_1) + 1 0.00 soc/core/_06219_ (net) + 0.04 0.00 7.69 v soc/core/_38753_/D (sky130_fd_sc_hd__dfxtp_2) + 7.69 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.22 5.97 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.18 6.15 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.05 0.00 6.15 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.29 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.04 0.00 6.29 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.21 6.50 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_3_4_2_core_clk (net) + 0.14 0.01 6.50 ^ soc/core/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.73 ^ soc/core/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_9_0_core_clk (net) + 0.10 0.00 6.73 ^ soc/core/clkbuf_5_18_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.16 6.89 ^ soc/core/clkbuf_5_18_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_18_0_core_clk (net) + 0.04 0.00 6.89 ^ soc/core/clkbuf_5_18_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.78 0.64 7.52 ^ soc/core/clkbuf_5_18_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.26 soc/core/clknet_5_18_1_core_clk (net) + 0.79 0.08 7.61 ^ soc/core/clkbuf_leaf_379_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.10 0.33 7.94 ^ soc/core/clkbuf_leaf_379_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_379_core_clk (net) + 0.10 0.00 7.94 ^ soc/core/_38753_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.25 8.19 clock uncertainty + -0.71 7.48 clock reconvergence pessimism + -0.03 7.45 library hold time + 7.45 data required time +----------------------------------------------------------------------------- + 7.45 data required time + -7.69 data arrival time +----------------------------------------------------------------------------- + 0.24 slack (MET) + + +Startpoint: soc/core/_36719_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_38807_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 4.76 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 4.94 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 4.94 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.20 5.14 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.14 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 5.31 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.05 0.00 5.31 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 5.43 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.04 0.00 5.43 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.18 5.61 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.13 0.01 5.61 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.20 5.82 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.11 0.00 5.82 ^ soc/core/clkbuf_5_30_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.96 ^ soc/core/clkbuf_5_30_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_30_0_core_clk (net) + 0.04 0.00 5.96 ^ soc/core/clkbuf_5_30_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.79 0.60 6.56 ^ soc/core/clkbuf_5_30_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.27 soc/core/clknet_5_30_1_core_clk (net) + 0.79 0.03 6.60 ^ soc/core/clkbuf_leaf_201_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.11 0.31 6.91 ^ soc/core/clkbuf_leaf_201_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 34 0.08 soc/core/clknet_leaf_201_core_clk (net) + 0.11 0.00 6.91 ^ soc/core/_36719_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.07 0.38 7.29 v soc/core/_36719_/Q (sky130_fd_sc_hd__dfxtp_4) + 10 0.04 soc/core/mgmtsoc_litespisdrphycore_sr_in[16] (net) + 0.07 0.00 7.29 v soc/core/hold1462/A (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.09 0.19 7.48 v soc/core/hold1462/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 1 0.02 soc/core/net4311 (net) + 0.09 0.00 7.48 v soc/core/_20468_/B (sky130_fd_sc_hd__nand3_1) + 0.06 0.10 7.58 ^ soc/core/_20468_/Y (sky130_fd_sc_hd__nand3_1) + 1 0.00 soc/core/_09613_ (net) + 0.06 0.00 7.58 ^ soc/core/_20470_/A1 (sky130_fd_sc_hd__a21oi_1) + 0.04 0.05 7.63 v soc/core/_20470_/Y (sky130_fd_sc_hd__a21oi_1) + 1 0.00 soc/core/_06273_ (net) + 0.04 0.00 7.63 v soc/core/_38807_/D (sky130_fd_sc_hd__dfxtp_1) + 7.63 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.01 6.49 ^ soc/core/clkbuf_4_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.21 6.71 ^ soc/core/clkbuf_4_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_13_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_27_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.86 ^ soc/core/clkbuf_5_27_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_27_0_core_clk (net) + 0.04 0.00 6.86 ^ soc/core/clkbuf_5_27_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.91 0.76 7.62 ^ soc/core/clkbuf_5_27_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 36 0.31 soc/core/clknet_5_27_1_core_clk (net) + 0.91 0.02 7.64 ^ soc/core/clkbuf_leaf_134_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.11 0.35 8.00 ^ soc/core/clkbuf_leaf_134_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 30 0.08 soc/core/clknet_leaf_134_core_clk (net) + 0.11 0.00 8.00 ^ soc/core/_38807_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 8.25 clock uncertainty + -0.83 7.42 clock reconvergence pessimism + -0.04 7.38 library hold time + 7.38 data required time +----------------------------------------------------------------------------- + 7.38 data required time + -7.63 data arrival time +----------------------------------------------------------------------------- + 0.24 slack (MET) + + +Startpoint: soc/core/_35257_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_37714_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.01 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.14 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.05 0.00 4.14 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.27 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.05 0.00 4.27 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.40 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.05 0.00 4.40 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.11 4.51 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.03 0.00 4.51 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.24 4.75 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.24 0.03 4.78 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.17 4.95 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_0_0_core_clk (net) + 0.03 0.00 4.95 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.46 0.38 5.33 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.15 soc/core/clknet_2_0_1_core_clk (net) + 0.46 0.03 5.36 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.21 5.57 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_0_core_clk (net) + 0.04 0.00 5.57 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 5.68 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_1_core_clk (net) + 0.03 0.00 5.68 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.14 5.82 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.02 soc/core/clknet_3_1_2_core_clk (net) + 0.08 0.00 5.82 ^ soc/core/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.17 5.99 ^ soc/core/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.02 soc/core/clknet_4_2_0_core_clk (net) + 0.08 0.00 5.99 ^ soc/core/clkbuf_5_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.13 6.12 ^ soc/core/clkbuf_5_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_4_0_core_clk (net) + 0.03 0.00 6.12 ^ soc/core/clkbuf_5_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.49 0.41 6.53 ^ soc/core/clkbuf_5_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 20 0.17 soc/core/clknet_5_4_1_core_clk (net) + 0.49 0.01 6.54 ^ soc/core/clkbuf_leaf_395_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.11 0.27 6.81 ^ soc/core/clkbuf_leaf_395_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 36 0.08 soc/core/clknet_leaf_395_core_clk (net) + 0.11 0.00 6.81 ^ soc/core/_35257_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.15 0.43 7.24 v soc/core/_35257_/Q (sky130_fd_sc_hd__dfxtp_4) + 16 0.11 soc/core/VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[3] (net) + 0.16 0.03 7.27 v soc/core/_24749_/C (sky130_fd_sc_hd__nand3b_2) + 0.10 0.17 7.44 ^ soc/core/_24749_/Y (sky130_fd_sc_hd__nand3b_2) + 2 0.02 soc/core/_12723_ (net) + 0.10 0.00 7.44 ^ soc/core/_24750_/B (sky130_fd_sc_hd__nor2_4) + 0.05 0.07 7.51 v soc/core/_24750_/Y (sky130_fd_sc_hd__nor2_4) + 4 0.03 soc/core/_12724_ (net) + 0.05 0.00 7.51 v soc/core/_24780_/C1 (sky130_fd_sc_hd__o2111ai_1) + 0.08 0.09 7.60 ^ soc/core/_24780_/Y (sky130_fd_sc_hd__o2111ai_1) + 1 0.00 soc/core/_12741_ (net) + 0.08 0.00 7.60 ^ soc/core/_24781_/B1 (sky130_fd_sc_hd__o21a_1) + 0.04 0.11 7.70 ^ soc/core/_24781_/X (sky130_fd_sc_hd__o21a_1) + 1 0.00 soc/core/_05180_ (net) + 0.04 0.00 7.70 ^ soc/core/_37714_/D (sky130_fd_sc_hd__dfxtp_1) + 7.70 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.22 5.97 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.18 6.15 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.05 0.00 6.15 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.29 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.04 0.00 6.29 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.21 6.50 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_3_4_2_core_clk (net) + 0.14 0.01 6.50 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.22 6.72 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_8_0_core_clk (net) + 0.09 0.00 6.72 ^ soc/core/clkbuf_5_16_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_16_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_16_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_16_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.72 7.59 ^ soc/core/clkbuf_5_16_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 36 0.29 soc/core/clknet_5_16_1_core_clk (net) + 0.84 0.01 7.61 ^ soc/core/clkbuf_leaf_353_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.09 0.33 7.94 ^ soc/core/clkbuf_leaf_353_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 20 0.06 soc/core/clknet_leaf_353_core_clk (net) + 0.09 0.00 7.94 ^ soc/core/_37714_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 8.19 clock uncertainty + -0.71 7.48 clock reconvergence pessimism + -0.02 7.46 library hold time + 7.46 data required time +----------------------------------------------------------------------------- + 7.46 data required time + -7.70 data arrival time +----------------------------------------------------------------------------- + 0.25 slack (MET) + + +Startpoint: housekeeping/_9020_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_35302_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 3.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 4.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.10 4.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 4.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 4.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 4.31 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.20 4.50 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.13 0.00 4.50 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.23 0.25 4.75 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net) + 0.23 0.00 4.75 ^ housekeeping/_9020_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.11 0.39 5.14 ^ housekeeping/_9020_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.01 housekeeping/net327 (net) + 0.11 0.00 5.14 ^ housekeeping/output327/A (sky130_fd_sc_hd__buf_2) + 0.05 0.13 5.27 ^ housekeeping/output327/X (sky130_fd_sc_hd__buf_2) + 2 0.01 hk_dat_i[0] (net) + 0.05 0.00 5.27 ^ soc/core/input6/A (sky130_fd_sc_hd__buf_8) + 0.03 0.08 5.35 ^ soc/core/input6/X (sky130_fd_sc_hd__buf_8) + 1 0.01 soc/core/net6 (net) + 0.03 0.00 5.35 ^ soc/core/hold1522/A (sky130_fd_sc_hd__buf_8) + 0.03 0.07 5.43 ^ soc/core/hold1522/X (sky130_fd_sc_hd__buf_8) + 1 0.01 soc/core/net4371 (net) + 0.03 0.00 5.43 ^ soc/core/hold2089/A (sky130_fd_sc_hd__buf_8) + 0.21 0.17 5.60 ^ soc/core/hold2089/X (sky130_fd_sc_hd__buf_8) + 2 0.13 soc/core/net4938 (net) + 0.23 0.05 5.65 ^ soc/core/_18727_/A1 (sky130_fd_sc_hd__a2111oi_4) + 0.04 0.10 5.74 v soc/core/_18727_/Y (sky130_fd_sc_hd__a2111oi_4) + 1 0.00 soc/core/_08465_ (net) + 0.04 0.00 5.74 v soc/core/hold834/A (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.09 0.17 5.91 v soc/core/hold834/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 1 0.01 soc/core/net3683 (net) + 0.09 0.00 5.92 v soc/core/_18728_/B1 (sky130_fd_sc_hd__o211ai_4) + 0.06 0.08 6.00 ^ soc/core/_18728_/Y (sky130_fd_sc_hd__o211ai_4) + 1 0.00 soc/core/VexRiscv.dBusWishbone_DAT_MISO[0] (net) + 0.06 0.00 6.00 ^ soc/core/hold830/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.51 6.51 ^ soc/core/hold830/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.00 soc/core/net3680 (net) + 0.06 0.00 6.51 ^ soc/core/hold831/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.06 0.52 7.03 ^ soc/core/hold831/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.00 soc/core/net3681 (net) + 0.06 0.00 7.03 ^ soc/core/hold832/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.05 0.51 7.54 ^ soc/core/hold832/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.00 soc/core/net3682 (net) + 0.05 0.00 7.54 ^ soc/core/hold833/A (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.15 0.16 7.71 ^ soc/core/hold833/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 2 0.01 soc/core/net3679 (net) + 0.15 0.00 7.71 ^ soc/core/_35302_/D (sky130_fd_sc_hd__dfxtp_4) + 7.71 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_25_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_25_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_25_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_25_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.81 0.68 7.54 ^ soc/core/clkbuf_5_25_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.28 soc/core/clknet_5_25_1_core_clk (net) + 0.82 0.05 7.59 ^ soc/core/clkbuf_leaf_235_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.11 0.35 7.94 ^ soc/core/clkbuf_leaf_235_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 32 0.08 soc/core/clknet_leaf_235_core_clk (net) + 0.11 0.00 7.94 ^ soc/core/_35302_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.25 8.19 clock uncertainty + -0.69 7.51 clock reconvergence pessimism + -0.05 7.46 library hold time + 7.46 data required time +----------------------------------------------------------------------------- + 7.46 data required time + -7.71 data arrival time +----------------------------------------------------------------------------- + 0.25 slack (MET) + + +Startpoint: housekeeping/_9024_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9024_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 3.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 4.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.10 4.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 4.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 4.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 4.31 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.20 4.50 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.13 0.00 4.50 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.23 0.25 4.75 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net) + 0.23 0.00 4.75 ^ housekeeping/_9024_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.08 0.37 5.12 ^ housekeeping/_9024_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.01 housekeeping/net353 (net) + 0.08 0.00 5.12 ^ housekeeping/_6968_/B1 (sky130_fd_sc_hd__o22a_2) + 0.03 0.13 5.25 ^ housekeeping/_6968_/X (sky130_fd_sc_hd__o22a_2) + 1 0.00 housekeeping/_0274_ (net) + 0.03 0.00 5.25 ^ housekeeping/_9024_/D (sky130_fd_sc_hd__dfxtp_1) + 5.25 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 5.05 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.22 5.26 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.13 0.00 5.27 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.23 0.27 5.54 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net) + 0.23 0.00 5.54 ^ housekeeping/_9024_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 5.79 clock uncertainty + -0.79 5.00 clock reconvergence pessimism + 0.00 5.00 library hold time + 5.00 data required time +----------------------------------------------------------------------------- + 5.00 data required time + -5.25 data arrival time +----------------------------------------------------------------------------- + 0.25 slack (MET) + + +Startpoint: housekeeping/_9027_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9027_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 3.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 4.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.10 4.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 4.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 4.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 4.31 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.20 4.50 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.13 0.00 4.50 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.23 0.25 4.75 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net) + 0.23 0.00 4.75 ^ housekeeping/_9027_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.08 0.37 5.12 ^ housekeeping/_9027_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.01 housekeeping/net356 (net) + 0.08 0.00 5.12 ^ housekeeping/_6965_/B1 (sky130_fd_sc_hd__o22a_1) + 0.04 0.12 5.24 ^ housekeeping/_6965_/X (sky130_fd_sc_hd__o22a_1) + 1 0.00 housekeeping/_0277_ (net) + 0.04 0.00 5.24 ^ housekeeping/_9027_/D (sky130_fd_sc_hd__dfxtp_1) + 5.24 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 5.05 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.22 5.26 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.13 0.00 5.27 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.23 0.27 5.54 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net) + 0.23 0.00 5.54 ^ housekeeping/_9027_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 5.79 clock uncertainty + -0.79 5.00 clock reconvergence pessimism + -0.01 5.00 library hold time + 5.00 data required time +----------------------------------------------------------------------------- + 5.00 data required time + -5.24 data arrival time +----------------------------------------------------------------------------- + 0.25 slack (MET) + + +Startpoint: soc/core/_35204_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_39462_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 4.76 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 4.94 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 4.94 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.20 5.14 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.14 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 5.31 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.05 0.00 5.31 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 5.43 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.04 0.00 5.43 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.18 5.61 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.13 0.01 5.61 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.20 5.81 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.10 0.00 5.81 ^ soc/core/clkbuf_5_29_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.95 ^ soc/core/clkbuf_5_29_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_29_0_core_clk (net) + 0.04 0.00 5.95 ^ soc/core/clkbuf_5_29_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.70 0.56 6.51 ^ soc/core/clkbuf_5_29_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.24 soc/core/clknet_5_29_1_core_clk (net) + 0.71 0.03 6.54 ^ soc/core/clkbuf_leaf_210_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.10 0.30 6.83 ^ soc/core/clkbuf_leaf_210_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_210_core_clk (net) + 0.10 0.00 6.84 ^ soc/core/_35204_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.06 0.34 7.18 v soc/core/_35204_/Q (sky130_fd_sc_hd__dfxtp_2) + 4 0.02 soc/core/multiregimpl0_regs1 (net) + 0.06 0.00 7.18 v soc/core/hold1311/A (sky130_fd_sc_hd__buf_2) + 0.07 0.16 7.34 v soc/core/hold1311/X (sky130_fd_sc_hd__buf_2) + 4 0.03 soc/core/net4160 (net) + 0.07 0.00 7.34 v soc/core/_17238_/A (sky130_fd_sc_hd__nand3_4) + 0.08 0.10 7.44 ^ soc/core/_17238_/Y (sky130_fd_sc_hd__nand3_4) + 2 0.02 soc/core/_07242_ (net) + 0.08 0.00 7.44 ^ soc/core/_17422_/B1_N (sky130_fd_sc_hd__a21bo_1) + 0.03 0.18 7.62 v soc/core/_17422_/X (sky130_fd_sc_hd__a21bo_1) + 1 0.00 soc/core/_06928_ (net) + 0.03 0.00 7.62 v soc/core/_39462_/D (sky130_fd_sc_hd__dfxtp_1) + 7.62 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.18 6.16 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.29 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.04 0.00 6.29 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.20 6.49 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.13 0.01 6.49 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.22 6.72 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.11 0.00 6.72 ^ soc/core/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_31_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.93 0.76 7.64 ^ soc/core/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 38 0.32 soc/core/clknet_5_31_1_core_clk (net) + 0.93 0.05 7.69 ^ soc/core/clkbuf_leaf_192_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.10 0.34 8.03 ^ soc/core/clkbuf_leaf_192_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.06 soc/core/clknet_leaf_192_core_clk (net) + 0.10 0.00 8.03 ^ soc/core/_39462_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 8.28 clock uncertainty + -0.88 7.41 clock reconvergence pessimism + -0.03 7.37 library hold time + 7.37 data required time +----------------------------------------------------------------------------- + 7.37 data required time + -7.62 data arrival time +----------------------------------------------------------------------------- + 0.25 slack (MET) + + +Startpoint: soc/core/_34832_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_37233_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 4.76 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 4.93 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 4.94 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.20 5.14 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.15 0.00 5.14 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 5.31 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.05 0.00 5.31 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 5.43 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.04 0.00 5.43 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.19 5.62 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_3_4_2_core_clk (net) + 0.14 0.01 5.62 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.20 5.82 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_8_0_core_clk (net) + 0.09 0.00 5.82 ^ soc/core/clkbuf_5_16_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.96 ^ soc/core/clkbuf_5_16_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_16_0_core_clk (net) + 0.04 0.00 5.96 ^ soc/core/clkbuf_5_16_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.65 6.61 ^ soc/core/clkbuf_5_16_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 36 0.29 soc/core/clknet_5_16_1_core_clk (net) + 0.84 0.02 6.63 ^ soc/core/clkbuf_leaf_358_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.09 0.30 6.93 ^ soc/core/clkbuf_leaf_358_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 22 0.06 soc/core/clknet_leaf_358_core_clk (net) + 0.09 0.00 6.94 ^ soc/core/_34832_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.05 0.31 7.24 ^ soc/core/_34832_/Q (sky130_fd_sc_hd__dfxtp_1) + 1 0.00 soc/core/VexRiscv._zz_RegFilePlugin_regFile_port0[0] (net) + 0.05 0.00 7.24 ^ soc/core/_26120_/A1 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 7.36 ^ soc/core/_26120_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/_13597_ (net) + 0.05 0.00 7.36 ^ soc/core/_26121_/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.07 7.43 ^ soc/core/_26121_/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/_04699_ (net) + 0.04 0.00 7.43 ^ soc/core/_37233_/D (sky130_fd_sc_hd__dfxtp_1) + 7.43 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.22 5.97 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.18 6.15 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.05 0.00 6.15 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.29 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.04 0.00 6.29 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.21 6.50 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_3_4_2_core_clk (net) + 0.14 0.01 6.50 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.22 6.72 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_8_0_core_clk (net) + 0.09 0.00 6.72 ^ soc/core/clkbuf_5_16_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_16_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_16_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_16_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.72 7.59 ^ soc/core/clkbuf_5_16_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 36 0.29 soc/core/clknet_5_16_1_core_clk (net) + 0.84 0.03 7.62 ^ soc/core/clkbuf_leaf_359_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 7.94 ^ soc/core/clkbuf_leaf_359_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 16 0.05 soc/core/clknet_leaf_359_core_clk (net) + 0.08 0.00 7.94 ^ soc/core/_37233_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 8.19 clock uncertainty + -0.98 7.21 clock reconvergence pessimism + -0.02 7.18 library hold time + 7.18 data required time +----------------------------------------------------------------------------- + 7.18 data required time + -7.43 data arrival time +----------------------------------------------------------------------------- + 0.25 slack (MET) + + +Startpoint: soc/core/_37928_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_35476_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 4.76 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 4.94 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 4.94 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.20 5.14 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.14 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 5.31 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.05 0.00 5.31 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 5.43 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.04 0.00 5.43 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.18 5.61 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.13 0.01 5.61 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.20 5.81 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.10 0.00 5.81 ^ soc/core/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.96 ^ soc/core/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_28_0_core_clk (net) + 0.04 0.00 5.96 ^ soc/core/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.75 0.59 6.54 ^ soc/core/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.26 soc/core/clknet_5_28_1_core_clk (net) + 0.75 0.02 6.56 ^ soc/core/clkbuf_leaf_279_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.28 6.84 ^ soc/core/clkbuf_leaf_279_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 12 0.04 soc/core/clknet_leaf_279_core_clk (net) + 0.08 0.00 6.85 ^ soc/core/_37928_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.06 0.31 7.15 ^ soc/core/_37928_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.00 soc/core/VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][25] (net) + 0.06 0.00 7.15 ^ soc/core/_32819_/A1 (sky130_fd_sc_hd__mux2_1) + 0.06 0.13 7.28 ^ soc/core/_32819_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/VexRiscv.IBusCachedPlugin_cache.ways_0_tags$rdreg[0]$d[25] (net) + 0.06 0.00 7.29 ^ soc/core/_30176_/A1 (sky130_fd_sc_hd__mux2_1) + 0.04 0.12 7.40 ^ soc/core/_30176_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/_15888_ (net) + 0.04 0.00 7.40 ^ soc/core/_30177_/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 7.48 ^ soc/core/_30177_/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/_02942_ (net) + 0.04 0.00 7.48 ^ soc/core/_35476_/D (sky130_fd_sc_hd__dfxtp_1) + 7.48 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.22 5.97 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.19 6.16 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_0_core_clk (net) + 0.06 0.00 6.16 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 6.30 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.20 6.49 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_5_2_core_clk (net) + 0.12 0.01 6.50 ^ soc/core/clkbuf_4_11_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.22 6.72 ^ soc/core/clkbuf_4_11_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_11_0_core_clk (net) + 0.11 0.00 6.72 ^ soc/core/clkbuf_5_23_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.16 6.88 ^ soc/core/clkbuf_5_23_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_23_0_core_clk (net) + 0.04 0.00 6.88 ^ soc/core/clkbuf_5_23_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.66 0.58 7.46 ^ soc/core/clkbuf_5_23_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.22 soc/core/clknet_5_23_1_core_clk (net) + 0.66 0.01 7.47 ^ soc/core/clkbuf_leaf_282_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.09 0.31 7.79 ^ soc/core/clkbuf_leaf_282_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 22 0.06 soc/core/clknet_leaf_282_core_clk (net) + 0.09 0.00 7.79 ^ soc/core/_35476_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 8.04 clock uncertainty + -0.79 7.25 clock reconvergence pessimism + -0.03 7.22 library hold time + 7.22 data required time +----------------------------------------------------------------------------- + 7.22 data required time + -7.48 data arrival time +----------------------------------------------------------------------------- + 0.25 slack (MET) + + +Startpoint: housekeeping/_9143_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9144_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 3.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 4.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.02 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 4.12 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.05 0.00 4.12 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.17 4.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.15 0.00 4.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.21 4.51 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.16 0.00 4.51 ^ housekeeping/clkbuf_3_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.32 0.32 4.83 ^ housekeeping/clkbuf_3_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 14 0.06 housekeeping/clknet_3_0_0_wb_clk_i (net) + 0.32 0.00 4.83 ^ housekeeping/_9143_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.07 0.40 5.24 ^ housekeeping/_9143_/Q (sky130_fd_sc_hd__dfrtp_1) + 2 0.01 housekeeping/serial_data_staging_2[5] (net) + 0.07 0.00 5.24 ^ housekeeping/_8885_/A1 (sky130_fd_sc_hd__mux2_1) + 0.05 0.13 5.37 ^ housekeeping/_8885_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 housekeeping/_0020_ (net) + 0.05 0.00 5.37 ^ housekeeping/_5889_/A2 (sky130_fd_sc_hd__o22a_2) + 0.03 0.12 5.48 ^ housekeeping/_5889_/X (sky130_fd_sc_hd__o22a_2) + 1 0.00 housekeeping/_0373_ (net) + 0.03 0.00 5.48 ^ housekeeping/_9144_/D (sky130_fd_sc_hd__dfrtp_1) + 5.48 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.73 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.12 4.85 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.05 0.00 4.85 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.19 5.04 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.15 0.00 5.04 ^ housekeeping/clkbuf_2_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.14 0.22 5.26 ^ housekeeping/clkbuf_2_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_1_0_wb_clk_i (net) + 0.14 0.00 5.26 ^ housekeeping/clkbuf_3_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.45 0.43 5.69 ^ housekeeping/clkbuf_3_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 19 0.08 housekeeping/clknet_3_3_0_wb_clk_i (net) + 0.45 0.01 5.70 ^ housekeeping/_9144_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 5.95 clock uncertainty + -0.74 5.21 clock reconvergence pessimism + 0.02 5.23 library hold time + 5.23 data required time +----------------------------------------------------------------------------- + 5.23 data required time + -5.48 data arrival time +----------------------------------------------------------------------------- + 0.25 slack (MET) + + +Startpoint: soc/core/_39457_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_36017_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 4.76 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 4.94 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 4.94 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.20 5.14 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.14 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 5.31 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.05 0.00 5.31 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 5.43 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.04 0.00 5.43 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.18 5.61 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.13 0.01 5.61 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.20 5.82 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.11 0.00 5.82 ^ soc/core/clkbuf_5_30_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.96 ^ soc/core/clkbuf_5_30_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_30_0_core_clk (net) + 0.04 0.00 5.96 ^ soc/core/clkbuf_5_30_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.79 0.60 6.56 ^ soc/core/clkbuf_5_30_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.27 soc/core/clknet_5_30_1_core_clk (net) + 0.79 0.01 6.57 ^ soc/core/clkbuf_leaf_195_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.07 0.27 6.84 ^ soc/core/clkbuf_leaf_195_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 6 0.03 soc/core/clknet_leaf_195_core_clk (net) + 0.07 0.00 6.85 ^ soc/core/_39457_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.05 0.30 7.15 v soc/core/_39457_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.01 soc/core/uart_phy_rx_data[2] (net) + 0.05 0.00 7.15 v soc/core/_17439_/A (sky130_fd_sc_hd__nand3_1) + 0.13 0.12 7.26 ^ soc/core/_17439_/Y (sky130_fd_sc_hd__nand3_1) + 2 0.01 soc/core/_07408_ (net) + 0.13 0.00 7.26 ^ soc/core/_17440_/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.20 7.47 ^ soc/core/_17440_/X (sky130_fd_sc_hd__clkbuf_4) + 8 0.03 soc/core/_07409_ (net) + 0.11 0.00 7.47 ^ soc/core/_28978_/A2 (sky130_fd_sc_hd__o21ai_1) + 0.04 0.07 7.54 v soc/core/_28978_/Y (sky130_fd_sc_hd__o21ai_1) + 1 0.00 soc/core/_15231_ (net) + 0.04 0.00 7.54 v soc/core/_28979_/B1 (sky130_fd_sc_hd__o21a_1) + 0.03 0.09 7.62 v soc/core/_28979_/X (sky130_fd_sc_hd__o21a_1) + 1 0.00 soc/core/_03483_ (net) + 0.03 0.00 7.62 v soc/core/_36017_/D (sky130_fd_sc_hd__dfxtp_1) + 7.62 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.18 6.16 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.29 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.04 0.00 6.29 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.20 6.49 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.13 0.01 6.49 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.22 6.72 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.11 0.00 6.72 ^ soc/core/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_31_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.93 0.76 7.64 ^ soc/core/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 38 0.32 soc/core/clknet_5_31_1_core_clk (net) + 0.93 0.05 7.68 ^ soc/core/clkbuf_leaf_208_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.12 0.36 8.05 ^ soc/core/clkbuf_leaf_208_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 34 0.09 soc/core/clknet_leaf_208_core_clk (net) + 0.12 0.00 8.05 ^ soc/core/_36017_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 8.30 clock uncertainty + -0.90 7.40 clock reconvergence pessimism + -0.03 7.37 library hold time + 7.37 data required time +----------------------------------------------------------------------------- + 7.37 data required time + -7.62 data arrival time +----------------------------------------------------------------------------- + 0.25 slack (MET) + + +Startpoint: soc/core/_34817_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_37282_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 4.76 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 4.93 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 4.94 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.20 5.14 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.15 0.00 5.14 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 5.31 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.05 0.00 5.31 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 5.43 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.04 0.00 5.43 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.19 5.62 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_3_4_2_core_clk (net) + 0.14 0.01 5.62 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.20 5.82 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_8_0_core_clk (net) + 0.09 0.00 5.82 ^ soc/core/clkbuf_5_17_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.96 ^ soc/core/clkbuf_5_17_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_17_0_core_clk (net) + 0.04 0.00 5.96 ^ soc/core/clkbuf_5_17_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.83 0.64 6.60 ^ soc/core/clkbuf_5_17_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_17_1_core_clk (net) + 0.83 0.03 6.63 ^ soc/core/clkbuf_leaf_333_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.10 0.31 6.94 ^ soc/core/clkbuf_leaf_333_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_333_core_clk (net) + 0.10 0.00 6.94 ^ soc/core/_34817_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.06 0.32 7.26 ^ soc/core/_34817_/Q (sky130_fd_sc_hd__dfxtp_1) + 1 0.00 soc/core/VexRiscv._zz_RegFilePlugin_regFile_port1[17] (net) + 0.06 0.00 7.26 ^ soc/core/_26015_/A1 (sky130_fd_sc_hd__mux2_1) + 0.04 0.11 7.37 ^ soc/core/_26015_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/_13541_ (net) + 0.04 0.00 7.37 ^ soc/core/_26016_/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.07 7.44 ^ soc/core/_26016_/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/_04748_ (net) + 0.04 0.00 7.44 ^ soc/core/_37282_/D (sky130_fd_sc_hd__dfxtp_2) + 7.44 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.22 5.97 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.18 6.15 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.05 0.00 6.15 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.29 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.04 0.00 6.29 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.21 6.50 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_3_4_2_core_clk (net) + 0.14 0.01 6.50 ^ soc/core/clkbuf_4_8_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.22 6.72 ^ soc/core/clkbuf_4_8_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_8_0_core_clk (net) + 0.09 0.00 6.72 ^ soc/core/clkbuf_5_17_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_17_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_17_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_17_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.83 0.71 7.58 ^ soc/core/clkbuf_5_17_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_17_1_core_clk (net) + 0.83 0.03 7.61 ^ soc/core/clkbuf_leaf_332_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.09 0.33 7.94 ^ soc/core/clkbuf_leaf_332_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.06 soc/core/clknet_leaf_332_core_clk (net) + 0.09 0.00 7.94 ^ soc/core/_37282_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.25 8.19 clock uncertainty + -0.98 7.21 clock reconvergence pessimism + -0.02 7.19 library hold time + 7.19 data required time +----------------------------------------------------------------------------- + 7.19 data required time + -7.44 data arrival time +----------------------------------------------------------------------------- + 0.26 slack (MET) + + +Startpoint: housekeeping/_9148_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9148_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 3.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 4.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.02 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 4.12 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.05 0.00 4.12 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.17 4.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.15 0.00 4.30 ^ housekeeping/clkbuf_2_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.14 0.20 4.50 ^ housekeeping/clkbuf_2_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_1_0_wb_clk_i (net) + 0.14 0.00 4.50 ^ housekeeping/clkbuf_3_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.34 0.32 4.82 ^ housekeeping/clkbuf_3_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 14 0.06 housekeeping/clknet_3_2_0_wb_clk_i (net) + 0.34 0.01 4.83 ^ housekeeping/_9148_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.07 0.40 5.23 ^ housekeeping/_9148_/Q (sky130_fd_sc_hd__dfrtp_1) + 2 0.01 housekeeping/serial_data_staging_2[10] (net) + 0.07 0.00 5.23 ^ housekeeping/_5885_/B2 (sky130_fd_sc_hd__o22a_2) + 0.03 0.11 5.34 ^ housekeeping/_5885_/X (sky130_fd_sc_hd__o22a_2) + 1 0.00 housekeeping/_0377_ (net) + 0.03 0.00 5.34 ^ housekeeping/_9148_/D (sky130_fd_sc_hd__dfrtp_1) + 5.34 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.73 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.12 4.85 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.05 0.00 4.85 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.19 5.04 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.15 0.00 5.04 ^ housekeeping/clkbuf_2_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.14 0.22 5.26 ^ housekeeping/clkbuf_2_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_1_0_wb_clk_i (net) + 0.14 0.00 5.26 ^ housekeeping/clkbuf_3_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.34 0.35 5.61 ^ housekeeping/clkbuf_3_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 14 0.06 housekeeping/clknet_3_2_0_wb_clk_i (net) + 0.34 0.01 5.62 ^ housekeeping/_9148_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 5.87 clock uncertainty + -0.80 5.08 clock reconvergence pessimism + 0.01 5.09 library hold time + 5.09 data required time +----------------------------------------------------------------------------- + 5.09 data required time + -5.34 data arrival time +----------------------------------------------------------------------------- + 0.26 slack (MET) + + +Startpoint: housekeeping/_9060_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9065_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 3.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 4.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.10 4.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 4.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 4.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 4.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.20 4.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 4.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.50 0.43 4.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.50 0.00 4.93 ^ housekeeping/_9060_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.09 0.50 5.43 v housekeeping/_9060_/Q (sky130_fd_sc_hd__dfrtp_1) + 3 0.01 housekeeping/wbbd_state[1] (net) + 0.09 0.00 5.43 v housekeeping/_9065_/D (sky130_fd_sc_hd__dfrtp_1) + 5.43 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 5.05 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.22 5.26 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 5.27 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.41 0.40 5.67 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.41 0.00 5.67 ^ housekeeping/_9065_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 5.92 clock uncertainty + -0.76 5.16 clock reconvergence pessimism + 0.02 5.18 library hold time + 5.18 data required time +----------------------------------------------------------------------------- + 5.18 data required time + -5.43 data arrival time +----------------------------------------------------------------------------- + 0.26 slack (MET) + + +Startpoint: housekeeping/_9172_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9172_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 3.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 4.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.02 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 4.12 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.05 0.00 4.12 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.17 4.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.15 0.00 4.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.21 4.51 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.16 0.00 4.51 ^ housekeeping/clkbuf_3_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.32 0.32 4.83 ^ housekeeping/clkbuf_3_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 14 0.06 housekeeping/clknet_3_0_0_wb_clk_i (net) + 0.32 0.00 4.83 ^ housekeeping/_9172_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.07 0.40 5.23 ^ housekeeping/_9172_/Q (sky130_fd_sc_hd__dfrtp_1) + 2 0.01 housekeeping/serial_data_staging_1[8] (net) + 0.07 0.00 5.23 ^ housekeeping/_5854_/B2 (sky130_fd_sc_hd__o22a_2) + 0.03 0.11 5.35 ^ housekeeping/_5854_/X (sky130_fd_sc_hd__o22a_2) + 1 0.00 housekeeping/_0401_ (net) + 0.03 0.00 5.35 ^ housekeeping/_9172_/D (sky130_fd_sc_hd__dfrtp_1) + 5.35 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.73 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.12 4.85 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.05 0.00 4.85 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.19 5.04 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.15 0.00 5.04 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.23 5.28 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.16 0.00 5.28 ^ housekeeping/clkbuf_3_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.32 0.35 5.63 ^ housekeeping/clkbuf_3_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 14 0.06 housekeeping/clknet_3_0_0_wb_clk_i (net) + 0.32 0.00 5.63 ^ housekeeping/_9172_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 5.88 clock uncertainty + -0.80 5.08 clock reconvergence pessimism + 0.01 5.09 library hold time + 5.09 data required time +----------------------------------------------------------------------------- + 5.09 data required time + -5.35 data arrival time +----------------------------------------------------------------------------- + 0.26 slack (MET) + + +Startpoint: soc/core/_36465_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_38058_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 4.76 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 4.94 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 4.94 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.20 5.14 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.14 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 5.31 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.05 0.00 5.31 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 5.43 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.04 0.00 5.43 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.18 5.61 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.13 0.01 5.61 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.20 5.82 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.11 0.00 5.82 ^ soc/core/clkbuf_5_30_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.96 ^ soc/core/clkbuf_5_30_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_30_0_core_clk (net) + 0.04 0.00 5.96 ^ soc/core/clkbuf_5_30_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.79 0.60 6.56 ^ soc/core/clkbuf_5_30_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.27 soc/core/clknet_5_30_1_core_clk (net) + 0.79 0.03 6.60 ^ soc/core/clkbuf_leaf_201_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.11 0.31 6.91 ^ soc/core/clkbuf_leaf_201_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 34 0.08 soc/core/clknet_leaf_201_core_clk (net) + 0.11 0.00 6.91 ^ soc/core/_36465_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.12 0.41 7.32 v soc/core/_36465_/Q (sky130_fd_sc_hd__dfxtp_4) + 4 0.08 soc/core/memdat_3[7] (net) + 0.12 0.02 7.34 v soc/core/_23879_/C (sky130_fd_sc_hd__and4_4) + 0.08 0.26 7.60 v soc/core/_23879_/X (sky130_fd_sc_hd__and4_4) + 2 0.05 soc/core/_12188_ (net) + 0.09 0.01 7.61 v soc/core/_23880_/A (sky130_fd_sc_hd__clkbuf_1) + 0.03 0.10 7.71 v soc/core/_23880_/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/_05524_ (net) + 0.03 0.00 7.71 v soc/core/_38058_/D (sky130_fd_sc_hd__dfxtp_1) + 7.71 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.05 0.00 4.86 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 5.01 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.05 0.00 5.01 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.15 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.05 0.00 5.15 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 5.27 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.03 0.00 5.27 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.27 5.54 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.24 0.03 5.57 ^ soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 5.76 ^ soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 5.76 ^ soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.22 5.98 ^ soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.15 0.00 5.98 ^ soc/core/clkbuf_3_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.19 6.17 ^ soc/core/clkbuf_3_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_3_0_core_clk (net) + 0.06 0.00 6.17 ^ soc/core/clkbuf_3_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 6.30 ^ soc/core/clkbuf_3_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_3_1_core_clk (net) + 0.04 0.00 6.31 ^ soc/core/clkbuf_3_3_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.22 6.52 ^ soc/core/clkbuf_3_3_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_3_3_2_core_clk (net) + 0.15 0.01 6.53 ^ soc/core/clkbuf_4_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.24 6.77 ^ soc/core/clkbuf_4_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_4_6_0_core_clk (net) + 0.12 0.00 6.77 ^ soc/core/clkbuf_5_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.16 6.93 ^ soc/core/clkbuf_5_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_13_0_core_clk (net) + 0.04 0.00 6.93 ^ soc/core/clkbuf_5_13_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.80 0.66 7.59 ^ soc/core/clkbuf_5_13_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.27 soc/core/clknet_5_13_1_core_clk (net) + 0.80 0.05 7.64 ^ soc/core/clkbuf_leaf_93_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.07 0.31 7.95 ^ soc/core/clkbuf_leaf_93_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 9 0.04 soc/core/clknet_leaf_93_core_clk (net) + 0.07 0.00 7.95 ^ soc/core/_38058_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 8.20 clock uncertainty + -0.71 7.49 clock reconvergence pessimism + -0.04 7.45 library hold time + 7.45 data required time +----------------------------------------------------------------------------- + 7.45 data required time + -7.71 data arrival time +----------------------------------------------------------------------------- + 0.26 slack (MET) + + +Startpoint: soc/core/_38328_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_38239_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 4.76 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 4.94 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 4.94 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.20 5.14 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.14 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 5.31 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.05 0.00 5.31 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 5.43 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.04 0.00 5.43 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.18 5.61 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.13 0.01 5.61 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.20 5.81 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.10 0.00 5.81 ^ soc/core/clkbuf_5_29_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.95 ^ soc/core/clkbuf_5_29_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_29_0_core_clk (net) + 0.04 0.00 5.95 ^ soc/core/clkbuf_5_29_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.70 0.56 6.51 ^ soc/core/clkbuf_5_29_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.24 soc/core/clknet_5_29_1_core_clk (net) + 0.70 0.01 6.52 ^ soc/core/clkbuf_leaf_212_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.10 0.30 6.82 ^ soc/core/clkbuf_leaf_212_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 28 0.07 soc/core/clknet_leaf_212_core_clk (net) + 0.10 0.00 6.82 ^ soc/core/_38328_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.06 0.33 7.15 v soc/core/_38328_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.01 soc/core/dbg_uart_tx_phase[16] (net) + 0.06 0.00 7.15 v soc/core/_22332_/C1 (sky130_fd_sc_hd__o211ai_2) + 0.06 0.07 7.21 ^ soc/core/_22332_/Y (sky130_fd_sc_hd__o211ai_2) + 1 0.01 soc/core/_10822_ (net) + 0.06 0.00 7.21 ^ soc/core/_22333_/A3 (sky130_fd_sc_hd__a31oi_1) + 0.05 0.07 7.29 v soc/core/_22333_/Y (sky130_fd_sc_hd__a31oi_1) + 1 0.00 soc/core/_05705_ (net) + 0.05 0.00 7.29 v soc/core/_38239_/D (sky130_fd_sc_hd__dfxtp_1) + 7.29 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.18 6.16 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.29 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.04 0.00 6.29 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.20 6.49 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.13 0.01 6.49 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_29_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.86 ^ soc/core/clkbuf_5_29_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_29_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_29_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.70 0.62 7.48 ^ soc/core/clkbuf_5_29_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.24 soc/core/clknet_5_29_1_core_clk (net) + 0.70 0.01 7.49 ^ soc/core/clkbuf_leaf_212_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.10 0.33 7.83 ^ soc/core/clkbuf_leaf_212_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 28 0.07 soc/core/clknet_leaf_212_core_clk (net) + 0.10 0.00 7.83 ^ soc/core/_38239_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 8.08 clock uncertainty + -1.01 7.07 clock reconvergence pessimism + -0.04 7.03 library hold time + 7.03 data required time +----------------------------------------------------------------------------- + 7.03 data required time + -7.29 data arrival time +----------------------------------------------------------------------------- + 0.26 slack (MET) + + +Startpoint: housekeeping/_9173_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9173_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 3.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 4.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.02 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 4.12 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.05 0.00 4.12 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.17 4.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.15 0.00 4.30 ^ housekeeping/clkbuf_2_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.14 0.20 4.50 ^ housekeeping/clkbuf_2_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_1_0_wb_clk_i (net) + 0.14 0.00 4.50 ^ housekeeping/clkbuf_3_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.34 0.32 4.82 ^ housekeeping/clkbuf_3_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 14 0.06 housekeeping/clknet_3_2_0_wb_clk_i (net) + 0.34 0.01 4.83 ^ housekeeping/_9173_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.07 0.40 5.23 ^ housekeeping/_9173_/Q (sky130_fd_sc_hd__dfrtp_1) + 2 0.01 housekeeping/serial_data_staging_1[9] (net) + 0.07 0.00 5.23 ^ housekeeping/_5853_/B2 (sky130_fd_sc_hd__o22a_2) + 0.03 0.11 5.34 ^ housekeeping/_5853_/X (sky130_fd_sc_hd__o22a_2) + 1 0.00 housekeeping/_0402_ (net) + 0.03 0.00 5.34 ^ housekeeping/_9173_/D (sky130_fd_sc_hd__dfrtp_1) + 5.34 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.73 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.12 4.85 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.05 0.00 4.85 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.19 5.04 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.15 0.00 5.04 ^ housekeeping/clkbuf_2_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.14 0.22 5.26 ^ housekeeping/clkbuf_2_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_1_0_wb_clk_i (net) + 0.14 0.00 5.26 ^ housekeeping/clkbuf_3_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.34 0.35 5.61 ^ housekeeping/clkbuf_3_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 14 0.06 housekeeping/clknet_3_2_0_wb_clk_i (net) + 0.34 0.01 5.62 ^ housekeeping/_9173_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 5.87 clock uncertainty + -0.80 5.08 clock reconvergence pessimism + 0.01 5.09 library hold time + 5.09 data required time +----------------------------------------------------------------------------- + 5.09 data required time + -5.34 data arrival time +----------------------------------------------------------------------------- + 0.26 slack (MET) + + +Startpoint: housekeeping/_9019_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9019_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 3.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 4.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.10 4.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 4.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 4.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 4.31 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.20 4.50 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.13 0.00 4.50 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.28 4.78 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 12 0.05 housekeeping/clknet_3_5_0_wb_clk_i (net) + 0.27 0.00 4.78 ^ housekeeping/_9019_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.08 0.39 5.17 ^ housekeeping/_9019_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.01 housekeeping/net333 (net) + 0.08 0.00 5.17 ^ housekeeping/_6977_/B1 (sky130_fd_sc_hd__o22a_1) + 0.04 0.12 5.29 ^ housekeeping/_6977_/X (sky130_fd_sc_hd__o22a_1) + 1 0.00 housekeeping/_0269_ (net) + 0.04 0.00 5.29 ^ housekeeping/_9019_/D (sky130_fd_sc_hd__dfxtp_1) + 5.29 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 5.05 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.22 5.26 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.13 0.00 5.27 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.27 0.30 5.57 ^ housekeeping/clkbuf_3_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 12 0.05 housekeeping/clknet_3_5_0_wb_clk_i (net) + 0.27 0.00 5.57 ^ housekeeping/_9019_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 5.82 clock uncertainty + -0.79 5.03 clock reconvergence pessimism + 0.00 5.03 library hold time + 5.03 data required time +----------------------------------------------------------------------------- + 5.03 data required time + -5.29 data arrival time +----------------------------------------------------------------------------- + 0.26 slack (MET) + + +Startpoint: soc/core/_36463_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_38056_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 4.76 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 4.94 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 4.94 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.20 5.14 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.14 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 5.31 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.05 0.00 5.31 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 5.43 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.04 0.00 5.43 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.18 5.61 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.13 0.01 5.61 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.20 5.82 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.11 0.00 5.82 ^ soc/core/clkbuf_5_30_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.96 ^ soc/core/clkbuf_5_30_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_30_0_core_clk (net) + 0.04 0.00 5.96 ^ soc/core/clkbuf_5_30_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.79 0.60 6.56 ^ soc/core/clkbuf_5_30_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.27 soc/core/clknet_5_30_1_core_clk (net) + 0.79 0.03 6.60 ^ soc/core/clkbuf_leaf_201_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.11 0.31 6.91 ^ soc/core/clkbuf_leaf_201_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 34 0.08 soc/core/clknet_leaf_201_core_clk (net) + 0.11 0.00 6.91 ^ soc/core/_36463_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.13 0.43 7.33 v soc/core/_36463_/Q (sky130_fd_sc_hd__dfxtp_4) + 4 0.10 soc/core/memdat_3[5] (net) + 0.14 0.01 7.35 v soc/core/_23883_/C (sky130_fd_sc_hd__and4_4) + 0.08 0.26 7.61 v soc/core/_23883_/X (sky130_fd_sc_hd__and4_4) + 2 0.05 soc/core/_12190_ (net) + 0.08 0.01 7.62 v soc/core/_23884_/A (sky130_fd_sc_hd__clkbuf_1) + 0.02 0.10 7.71 v soc/core/_23884_/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/_05522_ (net) + 0.02 0.00 7.71 v soc/core/_38056_/D (sky130_fd_sc_hd__dfxtp_1) + 7.71 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.05 0.00 4.86 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 5.01 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.05 0.00 5.01 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.15 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.05 0.00 5.15 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 5.27 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.03 0.00 5.27 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.27 5.54 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.24 0.03 5.57 ^ soc/core/clkbuf_2_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 5.76 ^ soc/core/clkbuf_2_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_1_0_core_clk (net) + 0.03 0.00 5.76 ^ soc/core/clkbuf_2_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.22 5.98 ^ soc/core/clkbuf_2_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_1_1_core_clk (net) + 0.15 0.00 5.98 ^ soc/core/clkbuf_3_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.19 6.17 ^ soc/core/clkbuf_3_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_3_0_core_clk (net) + 0.06 0.00 6.17 ^ soc/core/clkbuf_3_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 6.30 ^ soc/core/clkbuf_3_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_3_1_core_clk (net) + 0.04 0.00 6.31 ^ soc/core/clkbuf_3_3_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.22 6.52 ^ soc/core/clkbuf_3_3_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_3_3_2_core_clk (net) + 0.15 0.01 6.53 ^ soc/core/clkbuf_4_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.24 6.77 ^ soc/core/clkbuf_4_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_4_6_0_core_clk (net) + 0.12 0.00 6.77 ^ soc/core/clkbuf_5_13_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.16 6.93 ^ soc/core/clkbuf_5_13_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_13_0_core_clk (net) + 0.04 0.00 6.93 ^ soc/core/clkbuf_5_13_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.80 0.66 7.59 ^ soc/core/clkbuf_5_13_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.27 soc/core/clknet_5_13_1_core_clk (net) + 0.80 0.05 7.64 ^ soc/core/clkbuf_leaf_93_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.07 0.31 7.95 ^ soc/core/clkbuf_leaf_93_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 9 0.04 soc/core/clknet_leaf_93_core_clk (net) + 0.07 0.00 7.95 ^ soc/core/_38056_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 8.20 clock uncertainty + -0.71 7.49 clock reconvergence pessimism + -0.04 7.45 library hold time + 7.45 data required time +----------------------------------------------------------------------------- + 7.45 data required time + -7.71 data arrival time +----------------------------------------------------------------------------- + 0.26 slack (MET) + + +Startpoint: housekeeping/_9145_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9145_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 3.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 4.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.02 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 4.12 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.05 0.00 4.12 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.17 4.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.15 0.00 4.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.21 4.51 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.16 0.00 4.51 ^ housekeeping/clkbuf_3_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.32 0.32 4.83 ^ housekeeping/clkbuf_3_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 14 0.06 housekeeping/clknet_3_0_0_wb_clk_i (net) + 0.32 0.00 4.83 ^ housekeeping/_9145_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.07 0.40 5.23 ^ housekeeping/_9145_/Q (sky130_fd_sc_hd__dfrtp_1) + 2 0.01 housekeeping/serial_data_staging_2[7] (net) + 0.07 0.00 5.23 ^ housekeeping/_5888_/B2 (sky130_fd_sc_hd__o22a_2) + 0.03 0.12 5.35 ^ housekeeping/_5888_/X (sky130_fd_sc_hd__o22a_2) + 1 0.00 housekeeping/_0374_ (net) + 0.03 0.00 5.35 ^ housekeeping/_9145_/D (sky130_fd_sc_hd__dfrtp_1) + 5.35 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.73 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.12 4.85 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.05 0.00 4.85 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.19 5.04 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.15 0.00 5.04 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.23 5.28 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.16 0.00 5.28 ^ housekeeping/clkbuf_3_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.32 0.35 5.63 ^ housekeeping/clkbuf_3_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 14 0.06 housekeeping/clknet_3_0_0_wb_clk_i (net) + 0.32 0.00 5.63 ^ housekeeping/_9145_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 5.88 clock uncertainty + -0.80 5.08 clock reconvergence pessimism + 0.01 5.09 library hold time + 5.09 data required time +----------------------------------------------------------------------------- + 5.09 data required time + -5.35 data arrival time +----------------------------------------------------------------------------- + 0.26 slack (MET) + + +Startpoint: soc/core/_37572_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_37213_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.01 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.14 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.05 0.00 4.14 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.27 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.05 0.00 4.27 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.40 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.05 0.00 4.40 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.11 4.51 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.03 0.00 4.51 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.24 4.75 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.24 0.03 4.78 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.17 4.95 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_0_0_core_clk (net) + 0.03 0.00 4.95 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.46 0.38 5.33 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.15 soc/core/clknet_2_0_1_core_clk (net) + 0.46 0.03 5.36 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.21 5.57 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_0_core_clk (net) + 0.04 0.00 5.57 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 5.68 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_1_core_clk (net) + 0.03 0.00 5.68 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.14 5.82 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.02 soc/core/clknet_3_1_2_core_clk (net) + 0.08 0.00 5.82 ^ soc/core/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.17 6.00 ^ soc/core/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_3_0_core_clk (net) + 0.09 0.00 6.00 ^ soc/core/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 6.13 ^ soc/core/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_7_0_core_clk (net) + 0.04 0.00 6.13 ^ soc/core/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.52 0.43 6.57 ^ soc/core/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 22 0.18 soc/core/clknet_5_7_1_core_clk (net) + 0.52 0.00 6.57 ^ soc/core/clkbuf_leaf_383_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.10 0.28 6.85 ^ soc/core/clkbuf_leaf_383_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 32 0.08 soc/core/clknet_leaf_383_core_clk (net) + 0.10 0.00 6.85 ^ soc/core/_37572_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.21 0.46 7.31 v soc/core/_37572_/Q (sky130_fd_sc_hd__dfxtp_4) + 34 0.16 soc/core/VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[4] (net) + 0.22 0.04 7.35 v soc/core/_26168_/A1 (sky130_fd_sc_hd__o21ai_1) + 0.08 0.18 7.54 ^ soc/core/_26168_/Y (sky130_fd_sc_hd__o21ai_1) + 1 0.00 soc/core/_13624_ (net) + 0.08 0.00 7.54 ^ soc/core/_26169_/A1 (sky130_fd_sc_hd__mux2_1) + 0.04 0.12 7.66 ^ soc/core/_26169_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/_13625_ (net) + 0.04 0.00 7.66 ^ soc/core/_26170_/A (sky130_fd_sc_hd__clkbuf_1) + 0.03 0.07 7.72 ^ soc/core/_26170_/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/_04679_ (net) + 0.03 0.00 7.72 ^ soc/core/_37213_/D (sky130_fd_sc_hd__dfxtp_4) + 7.72 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.22 5.97 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.18 6.15 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.05 0.00 6.15 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.29 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.04 0.00 6.29 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.21 6.50 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_3_4_2_core_clk (net) + 0.14 0.01 6.50 ^ soc/core/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.73 ^ soc/core/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_9_0_core_clk (net) + 0.10 0.00 6.73 ^ soc/core/clkbuf_5_18_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.16 6.89 ^ soc/core/clkbuf_5_18_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_18_0_core_clk (net) + 0.04 0.00 6.89 ^ soc/core/clkbuf_5_18_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.78 0.64 7.52 ^ soc/core/clkbuf_5_18_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.26 soc/core/clknet_5_18_1_core_clk (net) + 0.79 0.09 7.61 ^ soc/core/clkbuf_leaf_371_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.10 0.33 7.94 ^ soc/core/clkbuf_leaf_371_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_371_core_clk (net) + 0.10 0.00 7.95 ^ soc/core/_37213_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.25 8.20 clock uncertainty + -0.71 7.49 clock reconvergence pessimism + -0.02 7.46 library hold time + 7.46 data required time +----------------------------------------------------------------------------- + 7.46 data required time + -7.72 data arrival time +----------------------------------------------------------------------------- + 0.26 slack (MET) + + +Startpoint: soc/core/_35771_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_35771_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.01 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.14 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.05 0.00 4.14 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.27 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.05 0.00 4.27 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.40 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.05 0.00 4.40 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.11 4.51 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.03 0.00 4.51 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.24 4.75 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.24 0.03 4.78 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.17 4.95 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_0_0_core_clk (net) + 0.03 0.00 4.95 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.46 0.38 5.33 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.15 soc/core/clknet_2_0_1_core_clk (net) + 0.46 0.03 5.36 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.21 5.57 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_0_core_clk (net) + 0.04 0.00 5.57 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 5.68 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_1_core_clk (net) + 0.03 0.00 5.68 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.14 5.82 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.02 soc/core/clknet_3_1_2_core_clk (net) + 0.08 0.00 5.82 ^ soc/core/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.17 6.00 ^ soc/core/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_3_0_core_clk (net) + 0.09 0.00 6.00 ^ soc/core/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 6.13 ^ soc/core/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_7_0_core_clk (net) + 0.04 0.00 6.13 ^ soc/core/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.52 0.43 6.57 ^ soc/core/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 22 0.18 soc/core/clknet_5_7_1_core_clk (net) + 0.52 0.03 6.60 ^ soc/core/clkbuf_leaf_118_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.07 0.25 6.84 ^ soc/core/clkbuf_leaf_118_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 11 0.04 soc/core/clknet_leaf_118_core_clk (net) + 0.07 0.00 6.84 ^ soc/core/_35771_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.06 0.31 7.15 ^ soc/core/_35771_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.00 soc/core/VexRiscv.IBusCachedPlugin_cache.banks_0[7][4] (net) + 0.06 0.00 7.15 ^ soc/core/_29539_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.11 7.26 ^ soc/core/_29539_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/_15546_ (net) + 0.04 0.00 7.26 ^ soc/core/_29540_/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.07 7.33 ^ soc/core/_29540_/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/_03237_ (net) + 0.04 0.00 7.33 ^ soc/core/_35771_/D (sky130_fd_sc_hd__dfxtp_1) + 7.33 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.05 0.00 4.86 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 5.01 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.05 0.00 5.01 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.15 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.05 0.00 5.15 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 5.27 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.03 0.00 5.27 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.27 5.54 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.24 0.03 5.57 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 5.76 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_0_0_core_clk (net) + 0.03 0.00 5.76 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.46 0.42 6.18 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.15 soc/core/clknet_2_0_1_core_clk (net) + 0.46 0.03 6.21 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.24 6.45 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_0_core_clk (net) + 0.04 0.00 6.45 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.11 6.56 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_1_core_clk (net) + 0.03 0.00 6.56 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.16 6.72 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.02 soc/core/clknet_3_1_2_core_clk (net) + 0.08 0.00 6.73 ^ soc/core/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 6.91 ^ soc/core/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_3_0_core_clk (net) + 0.09 0.00 6.92 ^ soc/core/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 7.07 ^ soc/core/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_7_0_core_clk (net) + 0.04 0.00 7.07 ^ soc/core/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.52 0.48 7.55 ^ soc/core/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 22 0.18 soc/core/clknet_5_7_1_core_clk (net) + 0.52 0.03 7.58 ^ soc/core/clkbuf_leaf_118_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.07 0.27 7.85 ^ soc/core/clkbuf_leaf_118_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 11 0.04 soc/core/clknet_leaf_118_core_clk (net) + 0.07 0.00 7.85 ^ soc/core/_35771_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 8.10 clock uncertainty + -1.01 7.09 clock reconvergence pessimism + -0.03 7.07 library hold time + 7.07 data required time +----------------------------------------------------------------------------- + 7.07 data required time + -7.33 data arrival time +----------------------------------------------------------------------------- + 0.26 slack (MET) + + +Startpoint: soc/core/_36934_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_37583_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.01 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.14 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.05 0.00 4.14 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.27 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.05 0.00 4.27 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.40 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.05 0.00 4.40 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.11 4.51 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.03 0.00 4.51 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.24 4.75 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.24 0.03 4.78 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.17 4.95 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_0_0_core_clk (net) + 0.03 0.00 4.95 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.46 0.38 5.33 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.15 soc/core/clknet_2_0_1_core_clk (net) + 0.46 0.03 5.36 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.21 5.57 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_0_core_clk (net) + 0.04 0.00 5.57 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 5.68 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_1_core_clk (net) + 0.03 0.00 5.68 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.14 5.82 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.02 soc/core/clknet_3_1_2_core_clk (net) + 0.08 0.00 5.82 ^ soc/core/clkbuf_4_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.17 5.99 ^ soc/core/clkbuf_4_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.02 soc/core/clknet_4_2_0_core_clk (net) + 0.08 0.00 5.99 ^ soc/core/clkbuf_5_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.13 6.12 ^ soc/core/clkbuf_5_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_4_0_core_clk (net) + 0.03 0.00 6.12 ^ soc/core/clkbuf_5_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.49 0.41 6.53 ^ soc/core/clkbuf_5_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 20 0.17 soc/core/clknet_5_4_1_core_clk (net) + 0.49 0.01 6.54 ^ soc/core/clkbuf_leaf_391_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.25 6.79 ^ soc/core/clkbuf_leaf_391_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 18 0.05 soc/core/clknet_leaf_391_core_clk (net) + 0.08 0.00 6.79 ^ soc/core/_36934_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.10 0.35 7.15 ^ soc/core/_36934_/Q (sky130_fd_sc_hd__dfxtp_2) + 3 0.02 soc/core/VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[15] (net) + 0.10 0.00 7.15 ^ soc/core/_33704_/A1 (sky130_fd_sc_hd__mux2_1) + 0.04 0.12 7.27 ^ soc/core/_33704_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/_00144_ (net) + 0.04 0.00 7.27 ^ soc/core/_25102_/A1 (sky130_fd_sc_hd__mux2_1) + 0.04 0.11 7.38 ^ soc/core/_25102_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/_12932_ (net) + 0.04 0.00 7.38 ^ soc/core/_25103_/A (sky130_fd_sc_hd__clkbuf_1) + 0.03 0.07 7.45 ^ soc/core/_25103_/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/_05049_ (net) + 0.03 0.00 7.45 ^ soc/core/_37583_/D (sky130_fd_sc_hd__dfxtp_4) + 7.45 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.05 0.00 4.86 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.15 5.01 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.05 0.00 5.01 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.15 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.05 0.00 5.15 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.12 5.27 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.03 0.00 5.27 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.27 5.54 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.24 0.03 5.57 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.19 5.76 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_0_0_core_clk (net) + 0.03 0.00 5.76 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.46 0.42 6.18 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.15 soc/core/clknet_2_0_1_core_clk (net) + 0.46 0.03 6.21 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.24 6.45 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_0_core_clk (net) + 0.04 0.00 6.45 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.11 6.56 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_1_core_clk (net) + 0.03 0.00 6.56 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.16 6.72 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.02 soc/core/clknet_3_1_2_core_clk (net) + 0.08 0.00 6.73 ^ soc/core/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.19 6.91 ^ soc/core/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_3_0_core_clk (net) + 0.09 0.00 6.92 ^ soc/core/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 7.07 ^ soc/core/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_7_0_core_clk (net) + 0.04 0.00 7.07 ^ soc/core/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.52 0.48 7.55 ^ soc/core/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 22 0.18 soc/core/clknet_5_7_1_core_clk (net) + 0.52 0.00 7.55 ^ soc/core/clkbuf_leaf_385_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.10 0.31 7.86 ^ soc/core/clkbuf_leaf_385_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 34 0.08 soc/core/clknet_leaf_385_core_clk (net) + 0.10 0.00 7.86 ^ soc/core/_37583_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.25 8.11 clock uncertainty + -0.90 7.21 clock reconvergence pessimism + -0.02 7.19 library hold time + 7.19 data required time +----------------------------------------------------------------------------- + 7.19 data required time + -7.45 data arrival time +----------------------------------------------------------------------------- + 0.26 slack (MET) + + +Startpoint: soc/core/_37943_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_37943_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 4.76 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 4.93 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 4.94 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.20 5.14 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.15 0.00 5.14 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.17 5.31 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_0_core_clk (net) + 0.06 0.00 5.31 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 5.44 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_1_core_clk (net) + 0.04 0.00 5.44 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.18 5.61 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_5_2_core_clk (net) + 0.12 0.01 5.62 ^ soc/core/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.19 5.81 ^ soc/core/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_10_0_core_clk (net) + 0.10 0.00 5.81 ^ soc/core/clkbuf_5_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.95 ^ soc/core/clkbuf_5_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_21_0_core_clk (net) + 0.04 0.00 5.95 ^ soc/core/clkbuf_5_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.85 0.65 6.60 ^ soc/core/clkbuf_5_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 36 0.29 soc/core/clknet_5_21_1_core_clk (net) + 0.85 0.02 6.62 ^ soc/core/clkbuf_leaf_306_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.27 6.89 ^ soc/core/clkbuf_leaf_306_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 7 0.02 soc/core/clknet_leaf_306_core_clk (net) + 0.06 0.00 6.89 ^ soc/core/_37943_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.19 0.40 7.29 ^ soc/core/_37943_/Q (sky130_fd_sc_hd__dfxtp_1) + 5 0.02 soc/core/dbg_uart_rx_phase[0] (net) + 0.19 0.00 7.29 ^ soc/core/_24189_/B (sky130_fd_sc_hd__nor3b_1) + 0.04 0.07 7.36 v soc/core/_24189_/Y (sky130_fd_sc_hd__nor3b_1) + 1 0.00 soc/core/_05409_ (net) + 0.04 0.00 7.36 v soc/core/_37943_/D (sky130_fd_sc_hd__dfxtp_1) + 7.36 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.22 5.97 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.19 6.16 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_0_core_clk (net) + 0.06 0.00 6.16 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 6.30 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.20 6.49 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_5_2_core_clk (net) + 0.12 0.01 6.50 ^ soc/core/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.21 6.71 ^ soc/core/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_10_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.86 ^ soc/core/clkbuf_5_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_21_0_core_clk (net) + 0.04 0.00 6.86 ^ soc/core/clkbuf_5_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.85 0.72 7.59 ^ soc/core/clkbuf_5_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 36 0.29 soc/core/clknet_5_21_1_core_clk (net) + 0.85 0.02 7.60 ^ soc/core/clkbuf_leaf_306_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.30 7.91 ^ soc/core/clkbuf_leaf_306_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 7 0.02 soc/core/clknet_leaf_306_core_clk (net) + 0.06 0.00 7.91 ^ soc/core/_37943_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 8.16 clock uncertainty + -1.01 7.14 clock reconvergence pessimism + -0.05 7.10 library hold time + 7.10 data required time +----------------------------------------------------------------------------- + 7.10 data required time + -7.36 data arrival time +----------------------------------------------------------------------------- + 0.26 slack (MET) + + +Startpoint: housekeeping/_9169_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9169_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 3.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 4.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.02 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 4.12 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.05 0.00 4.12 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.17 4.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.15 0.00 4.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.21 4.51 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.16 0.00 4.51 ^ housekeeping/clkbuf_3_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.32 0.32 4.83 ^ housekeeping/clkbuf_3_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 14 0.06 housekeeping/clknet_3_0_0_wb_clk_i (net) + 0.32 0.00 4.83 ^ housekeeping/_9169_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.07 0.40 5.23 ^ housekeeping/_9169_/Q (sky130_fd_sc_hd__dfrtp_1) + 2 0.01 housekeeping/serial_data_staging_1[5] (net) + 0.07 0.00 5.23 ^ housekeeping/_5857_/B2 (sky130_fd_sc_hd__o22a_2) + 0.03 0.11 5.35 ^ housekeeping/_5857_/X (sky130_fd_sc_hd__o22a_2) + 1 0.00 housekeeping/_0398_ (net) + 0.03 0.00 5.35 ^ housekeeping/_9169_/D (sky130_fd_sc_hd__dfrtp_1) + 5.35 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.73 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.12 4.85 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.05 0.00 4.85 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.19 5.04 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.15 0.00 5.04 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.23 5.28 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.16 0.00 5.28 ^ housekeeping/clkbuf_3_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.32 0.35 5.63 ^ housekeeping/clkbuf_3_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 14 0.06 housekeeping/clknet_3_0_0_wb_clk_i (net) + 0.32 0.00 5.63 ^ housekeeping/_9169_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 5.88 clock uncertainty + -0.80 5.08 clock reconvergence pessimism + 0.01 5.09 library hold time + 5.09 data required time +----------------------------------------------------------------------------- + 5.09 data required time + -5.35 data arrival time +----------------------------------------------------------------------------- + 0.26 slack (MET) + + +Startpoint: soc/core/_35418_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_35418_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 4.76 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 4.94 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 4.94 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.20 5.14 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.14 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 5.31 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.05 0.00 5.31 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 5.43 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.04 0.00 5.43 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.18 5.61 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.13 0.01 5.61 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.20 5.81 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.10 0.00 5.81 ^ soc/core/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.96 ^ soc/core/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_28_0_core_clk (net) + 0.04 0.00 5.96 ^ soc/core/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.75 0.59 6.54 ^ soc/core/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.26 soc/core/clknet_5_28_1_core_clk (net) + 0.75 0.02 6.56 ^ soc/core/clkbuf_leaf_279_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.28 6.84 ^ soc/core/clkbuf_leaf_279_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 12 0.04 soc/core/clknet_leaf_279_core_clk (net) + 0.08 0.00 6.84 ^ soc/core/_35418_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.05 0.31 7.15 ^ soc/core/_35418_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.00 soc/core/VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][26] (net) + 0.05 0.00 7.15 ^ soc/core/_30301_/A0 (sky130_fd_sc_hd__mux2_1) + 0.04 0.11 7.26 ^ soc/core/_30301_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 soc/core/_15955_ (net) + 0.04 0.00 7.26 ^ soc/core/_30302_/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.07 7.33 ^ soc/core/_30302_/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/_02884_ (net) + 0.04 0.00 7.33 ^ soc/core/_35418_/D (sky130_fd_sc_hd__dfxtp_1) + 7.33 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.18 6.16 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.29 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.04 0.00 6.29 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.20 6.49 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.13 0.01 6.49 ^ soc/core/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_14_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.16 6.87 ^ soc/core/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_28_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.75 0.65 7.52 ^ soc/core/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.26 soc/core/clknet_5_28_1_core_clk (net) + 0.75 0.02 7.54 ^ soc/core/clkbuf_leaf_279_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.31 7.85 ^ soc/core/clkbuf_leaf_279_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 12 0.04 soc/core/clknet_leaf_279_core_clk (net) + 0.08 0.00 7.85 ^ soc/core/_35418_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 8.10 clock uncertainty + -1.01 7.10 clock reconvergence pessimism + -0.03 7.07 library hold time + 7.07 data required time +----------------------------------------------------------------------------- + 7.07 data required time + -7.33 data arrival time +----------------------------------------------------------------------------- + 0.26 slack (MET) + + +Startpoint: housekeeping/_9175_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9175_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 3.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 4.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.02 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 4.12 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.05 0.00 4.12 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.17 4.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.15 0.00 4.30 ^ housekeeping/clkbuf_2_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.14 0.20 4.50 ^ housekeeping/clkbuf_2_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_1_0_wb_clk_i (net) + 0.14 0.00 4.50 ^ housekeeping/clkbuf_3_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.34 0.32 4.82 ^ housekeeping/clkbuf_3_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 14 0.06 housekeeping/clknet_3_2_0_wb_clk_i (net) + 0.34 0.01 4.83 ^ housekeeping/_9175_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.07 0.40 5.23 ^ housekeeping/_9175_/Q (sky130_fd_sc_hd__dfrtp_1) + 2 0.01 housekeeping/serial_data_staging_1[11] (net) + 0.07 0.00 5.23 ^ housekeeping/_5851_/B2 (sky130_fd_sc_hd__o22a_2) + 0.03 0.12 5.35 ^ housekeeping/_5851_/X (sky130_fd_sc_hd__o22a_2) + 1 0.00 housekeeping/_0404_ (net) + 0.03 0.00 5.35 ^ housekeeping/_9175_/D (sky130_fd_sc_hd__dfrtp_1) + 5.35 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.73 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.12 4.85 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.05 0.00 4.85 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.19 5.04 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.15 0.00 5.04 ^ housekeeping/clkbuf_2_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.14 0.22 5.26 ^ housekeeping/clkbuf_2_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_1_0_wb_clk_i (net) + 0.14 0.00 5.26 ^ housekeeping/clkbuf_3_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.34 0.35 5.61 ^ housekeeping/clkbuf_3_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 14 0.06 housekeeping/clknet_3_2_0_wb_clk_i (net) + 0.34 0.01 5.62 ^ housekeeping/_9175_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 5.87 clock uncertainty + -0.80 5.08 clock reconvergence pessimism + 0.01 5.09 library hold time + 5.09 data required time +----------------------------------------------------------------------------- + 5.09 data required time + -5.35 data arrival time +----------------------------------------------------------------------------- + 0.26 slack (MET) + + +Startpoint: soc/core/_37589_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_37437_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.01 ^ soc/core/clkbuf_1_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.14 ^ soc/core/clkbuf_1_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_0_core_clk (net) + 0.05 0.00 4.14 ^ soc/core/clkbuf_1_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.27 ^ soc/core/clkbuf_1_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_1_core_clk (net) + 0.05 0.00 4.27 ^ soc/core/clkbuf_1_0_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.40 ^ soc/core/clkbuf_1_0_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_0_2_core_clk (net) + 0.05 0.00 4.40 ^ soc/core/clkbuf_1_0_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.11 4.51 ^ soc/core/clkbuf_1_0_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_1_0_3_core_clk (net) + 0.03 0.00 4.51 ^ soc/core/clkbuf_1_0_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.24 0.24 4.75 ^ soc/core/clkbuf_1_0_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.08 soc/core/clknet_1_0_4_core_clk (net) + 0.24 0.03 4.78 ^ soc/core/clkbuf_2_0_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.17 4.95 ^ soc/core/clkbuf_2_0_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_2_0_0_core_clk (net) + 0.03 0.00 4.95 ^ soc/core/clkbuf_2_0_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.46 0.38 5.33 ^ soc/core/clkbuf_2_0_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.15 soc/core/clknet_2_0_1_core_clk (net) + 0.46 0.03 5.36 ^ soc/core/clkbuf_3_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.21 5.57 ^ soc/core/clkbuf_3_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_0_core_clk (net) + 0.04 0.00 5.57 ^ soc/core/clkbuf_3_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.10 5.68 ^ soc/core/clkbuf_3_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 soc/core/clknet_3_1_1_core_clk (net) + 0.03 0.00 5.68 ^ soc/core/clkbuf_3_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.08 0.14 5.82 ^ soc/core/clkbuf_3_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.02 soc/core/clknet_3_1_2_core_clk (net) + 0.08 0.00 5.82 ^ soc/core/clkbuf_4_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.17 6.00 ^ soc/core/clkbuf_4_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_3_0_core_clk (net) + 0.09 0.00 6.00 ^ soc/core/clkbuf_5_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 6.13 ^ soc/core/clkbuf_5_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_7_0_core_clk (net) + 0.04 0.00 6.13 ^ soc/core/clkbuf_5_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.52 0.43 6.57 ^ soc/core/clkbuf_5_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 22 0.18 soc/core/clknet_5_7_1_core_clk (net) + 0.52 0.01 6.58 ^ soc/core/clkbuf_leaf_381_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.10 0.27 6.85 ^ soc/core/clkbuf_leaf_381_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 28 0.08 soc/core/clknet_leaf_381_core_clk (net) + 0.10 0.01 6.86 ^ soc/core/_37589_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.25 0.47 7.33 v soc/core/_37589_/Q (sky130_fd_sc_hd__dfxtp_4) + 16 0.20 soc/core/VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[21] (net) + 0.25 0.02 7.34 v soc/core/_25464_/D (sky130_fd_sc_hd__and4_1) + 0.04 0.27 7.62 v soc/core/_25464_/X (sky130_fd_sc_hd__and4_1) + 1 0.00 soc/core/_13147_ (net) + 0.04 0.00 7.62 v soc/core/_25466_/A (sky130_fd_sc_hd__nand2_1) + 0.05 0.06 7.67 ^ soc/core/_25466_/Y (sky130_fd_sc_hd__nand2_1) + 1 0.00 soc/core/_13149_ (net) + 0.05 0.00 7.67 ^ soc/core/_25467_/B2 (sky130_fd_sc_hd__o2bb2ai_1) + 0.03 0.05 7.73 v soc/core/_25467_/Y (sky130_fd_sc_hd__o2bb2ai_1) + 1 0.00 soc/core/_04903_ (net) + 0.03 0.00 7.73 v soc/core/_37437_/D (sky130_fd_sc_hd__dfxtp_4) + 7.73 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.22 5.97 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.18 6.15 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.05 0.00 6.15 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.29 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.04 0.00 6.29 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.21 6.50 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_3_4_2_core_clk (net) + 0.14 0.01 6.50 ^ soc/core/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.73 ^ soc/core/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_9_0_core_clk (net) + 0.10 0.00 6.73 ^ soc/core/clkbuf_5_18_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.16 6.89 ^ soc/core/clkbuf_5_18_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_18_0_core_clk (net) + 0.04 0.00 6.89 ^ soc/core/clkbuf_5_18_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.78 0.64 7.52 ^ soc/core/clkbuf_5_18_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.26 soc/core/clknet_5_18_1_core_clk (net) + 0.79 0.09 7.61 ^ soc/core/clkbuf_leaf_372_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.10 0.34 7.95 ^ soc/core/clkbuf_leaf_372_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_372_core_clk (net) + 0.10 0.00 7.95 ^ soc/core/_37437_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.25 8.20 clock uncertainty + -0.71 7.49 clock reconvergence pessimism + -0.03 7.46 library hold time + 7.46 data required time +----------------------------------------------------------------------------- + 7.46 data required time + -7.73 data arrival time +----------------------------------------------------------------------------- + 0.26 slack (MET) + + +Startpoint: soc/core/_37332_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_37332_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 4.76 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 4.93 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 4.94 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.20 5.14 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.15 0.00 5.14 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 5.31 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.05 0.00 5.31 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 5.43 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.04 0.00 5.43 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.19 5.62 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_3_4_2_core_clk (net) + 0.14 0.01 5.62 ^ soc/core/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.20 5.83 ^ soc/core/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_9_0_core_clk (net) + 0.10 0.00 5.83 ^ soc/core/clkbuf_5_18_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.97 ^ soc/core/clkbuf_5_18_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_18_0_core_clk (net) + 0.04 0.00 5.97 ^ soc/core/clkbuf_5_18_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.78 0.57 6.54 ^ soc/core/clkbuf_5_18_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.26 soc/core/clknet_5_18_1_core_clk (net) + 0.79 0.08 6.62 ^ soc/core/clkbuf_leaf_373_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.10 0.30 6.92 ^ soc/core/clkbuf_leaf_373_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.07 soc/core/clknet_leaf_373_core_clk (net) + 0.10 0.00 6.93 ^ soc/core/_37332_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.07 0.33 7.26 v soc/core/_37332_/Q (sky130_fd_sc_hd__dfxtp_1) + 4 0.01 soc/core/VexRiscv.execute_to_memory_ALIGNEMENT_FAULT (net) + 0.07 0.00 7.26 v soc/core/_25897_/B (sky130_fd_sc_hd__nand3_1) + 0.05 0.08 7.34 ^ soc/core/_25897_/Y (sky130_fd_sc_hd__nand3_1) + 1 0.00 soc/core/_13474_ (net) + 0.05 0.00 7.34 ^ soc/core/_25898_/B1 (sky130_fd_sc_hd__o21ai_1) + 0.05 0.06 7.40 v soc/core/_25898_/Y (sky130_fd_sc_hd__o21ai_1) + 1 0.00 soc/core/_04798_ (net) + 0.05 0.00 7.40 v soc/core/_37332_/D (sky130_fd_sc_hd__dfxtp_1) + 7.40 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.22 5.97 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_4_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.18 6.15 ^ soc/core/clkbuf_3_4_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_0_core_clk (net) + 0.05 0.00 6.15 ^ soc/core/clkbuf_3_4_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.29 ^ soc/core/clkbuf_3_4_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_4_1_core_clk (net) + 0.04 0.00 6.29 ^ soc/core/clkbuf_3_4_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.14 0.21 6.50 ^ soc/core/clkbuf_3_4_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_3_4_2_core_clk (net) + 0.14 0.01 6.50 ^ soc/core/clkbuf_4_9_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.73 ^ soc/core/clkbuf_4_9_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_9_0_core_clk (net) + 0.10 0.00 6.73 ^ soc/core/clkbuf_5_18_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.16 6.89 ^ soc/core/clkbuf_5_18_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_18_0_core_clk (net) + 0.04 0.00 6.89 ^ soc/core/clkbuf_5_18_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.78 0.64 7.52 ^ soc/core/clkbuf_5_18_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 32 0.26 soc/core/clknet_5_18_1_core_clk (net) + 0.79 0.09 7.61 ^ soc/core/clkbuf_leaf_373_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.10 0.34 7.94 ^ soc/core/clkbuf_leaf_373_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 24 0.07 soc/core/clknet_leaf_373_core_clk (net) + 0.10 0.01 7.95 ^ soc/core/_37332_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 8.20 clock uncertainty + -1.02 7.18 clock reconvergence pessimism + -0.04 7.14 library hold time + 7.14 data required time +----------------------------------------------------------------------------- + 7.14 data required time + -7.40 data arrival time +----------------------------------------------------------------------------- + 0.26 slack (MET) + + +Startpoint: housekeeping/_9147_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9147_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 3.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 4.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.02 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 4.12 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.05 0.00 4.12 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.17 4.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.15 0.00 4.30 ^ housekeeping/clkbuf_2_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.14 0.20 4.50 ^ housekeeping/clkbuf_2_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_1_0_wb_clk_i (net) + 0.14 0.00 4.50 ^ housekeeping/clkbuf_3_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.34 0.32 4.82 ^ housekeeping/clkbuf_3_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 14 0.06 housekeeping/clknet_3_2_0_wb_clk_i (net) + 0.34 0.01 4.83 ^ housekeeping/_9147_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.07 0.41 5.23 ^ housekeeping/_9147_/Q (sky130_fd_sc_hd__dfrtp_1) + 2 0.01 housekeeping/serial_data_staging_2[9] (net) + 0.07 0.00 5.23 ^ housekeeping/_5886_/B2 (sky130_fd_sc_hd__o22a_2) + 0.03 0.11 5.35 ^ housekeeping/_5886_/X (sky130_fd_sc_hd__o22a_2) + 1 0.00 housekeeping/_0376_ (net) + 0.03 0.00 5.35 ^ housekeeping/_9147_/D (sky130_fd_sc_hd__dfrtp_1) + 5.35 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.73 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.12 4.85 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.05 0.00 4.85 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.19 5.04 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.15 0.00 5.04 ^ housekeeping/clkbuf_2_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.14 0.22 5.26 ^ housekeeping/clkbuf_2_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_1_0_wb_clk_i (net) + 0.14 0.00 5.26 ^ housekeeping/clkbuf_3_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.34 0.35 5.61 ^ housekeeping/clkbuf_3_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 14 0.06 housekeeping/clknet_3_2_0_wb_clk_i (net) + 0.34 0.01 5.62 ^ housekeeping/_9147_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 5.87 clock uncertainty + -0.80 5.08 clock reconvergence pessimism + 0.01 5.09 library hold time + 5.09 data required time +----------------------------------------------------------------------------- + 5.09 data required time + -5.35 data arrival time +----------------------------------------------------------------------------- + 0.26 slack (MET) + + +Startpoint: housekeeping/_9146_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9146_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 3.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 4.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.02 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 4.12 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.05 0.00 4.12 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.17 4.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.15 0.00 4.30 ^ housekeeping/clkbuf_2_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.14 0.20 4.50 ^ housekeeping/clkbuf_2_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_1_0_wb_clk_i (net) + 0.14 0.00 4.50 ^ housekeeping/clkbuf_3_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.34 0.32 4.82 ^ housekeeping/clkbuf_3_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 14 0.06 housekeeping/clknet_3_2_0_wb_clk_i (net) + 0.34 0.01 4.83 ^ housekeeping/_9146_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.07 0.41 5.23 ^ housekeeping/_9146_/Q (sky130_fd_sc_hd__dfrtp_1) + 2 0.01 housekeeping/serial_data_staging_2[8] (net) + 0.07 0.00 5.24 ^ housekeeping/_5887_/B2 (sky130_fd_sc_hd__o22a_2) + 0.03 0.11 5.35 ^ housekeeping/_5887_/X (sky130_fd_sc_hd__o22a_2) + 1 0.00 housekeeping/_0375_ (net) + 0.03 0.00 5.35 ^ housekeeping/_9146_/D (sky130_fd_sc_hd__dfrtp_1) + 5.35 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.73 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.12 4.85 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.05 0.00 4.85 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.19 5.04 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.15 0.00 5.04 ^ housekeeping/clkbuf_2_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.14 0.22 5.26 ^ housekeeping/clkbuf_2_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_1_0_wb_clk_i (net) + 0.14 0.00 5.26 ^ housekeeping/clkbuf_3_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.34 0.35 5.61 ^ housekeeping/clkbuf_3_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 14 0.06 housekeeping/clknet_3_2_0_wb_clk_i (net) + 0.34 0.01 5.62 ^ housekeeping/_9146_/CLK (sky130_fd_sc_hd__dfrtp_1) + 0.25 5.87 clock uncertainty + -0.80 5.08 clock reconvergence pessimism + 0.01 5.09 library hold time + 5.09 data required time +----------------------------------------------------------------------------- + 5.09 data required time + -5.35 data arrival time +----------------------------------------------------------------------------- + 0.26 slack (MET) + + +Startpoint: soc/core/_37965_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/core/_37966_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 3.81 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 4.01 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.01 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.14 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.14 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.12 4.27 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 4.27 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.39 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 4.39 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.13 4.52 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 4.52 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.23 4.75 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 4.76 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.17 4.93 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 4.94 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.20 5.14 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.15 0.00 5.14 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.17 5.31 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_0_core_clk (net) + 0.06 0.00 5.31 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 5.44 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_1_core_clk (net) + 0.04 0.00 5.44 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.18 5.61 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_5_2_core_clk (net) + 0.12 0.01 5.62 ^ soc/core/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.19 5.81 ^ soc/core/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_10_0_core_clk (net) + 0.10 0.00 5.81 ^ soc/core/clkbuf_5_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.95 ^ soc/core/clkbuf_5_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_21_0_core_clk (net) + 0.04 0.00 5.95 ^ soc/core/clkbuf_5_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.85 0.65 6.60 ^ soc/core/clkbuf_5_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 36 0.29 soc/core/clknet_5_21_1_core_clk (net) + 0.85 0.02 6.62 ^ soc/core/clkbuf_leaf_306_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.06 0.27 6.89 ^ soc/core/clkbuf_leaf_306_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 7 0.02 soc/core/clknet_leaf_306_core_clk (net) + 0.06 0.00 6.89 ^ soc/core/_37965_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.11 0.34 7.23 ^ soc/core/_37965_/Q (sky130_fd_sc_hd__dfxtp_1) + 3 0.01 soc/core/dbg_uart_rx_phase[22] (net) + 0.11 0.00 7.23 ^ soc/core/_24128_/A (sky130_fd_sc_hd__nor2_1) + 0.05 0.08 7.31 v soc/core/_24128_/Y (sky130_fd_sc_hd__nor2_1) + 3 0.01 soc/core/_12341_ (net) + 0.05 0.00 7.31 v soc/core/_24130_/A2 (sky130_fd_sc_hd__a21oi_1) + 0.08 0.13 7.43 ^ soc/core/_24130_/Y (sky130_fd_sc_hd__a21oi_1) + 1 0.00 soc/core/_05432_ (net) + 0.08 0.00 7.43 ^ soc/core/_37966_/D (sky130_fd_sc_hd__dfxtp_1) + 7.43 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_2_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_2_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_2_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_2_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.22 5.97 ^ soc/core/clkbuf_2_2_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_2_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_5_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.19 6.16 ^ soc/core/clkbuf_3_5_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_0_core_clk (net) + 0.06 0.00 6.16 ^ soc/core/clkbuf_3_5_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 6.30 ^ soc/core/clkbuf_3_5_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_5_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_5_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.20 6.49 ^ soc/core/clkbuf_3_5_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_5_2_core_clk (net) + 0.12 0.01 6.50 ^ soc/core/clkbuf_4_10_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.21 6.71 ^ soc/core/clkbuf_4_10_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_10_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_21_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.86 ^ soc/core/clkbuf_5_21_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_21_0_core_clk (net) + 0.04 0.00 6.86 ^ soc/core/clkbuf_5_21_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.85 0.72 7.59 ^ soc/core/clkbuf_5_21_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 36 0.29 soc/core/clknet_5_21_1_core_clk (net) + 0.85 0.02 7.61 ^ soc/core/clkbuf_leaf_307_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.09 0.33 7.94 ^ soc/core/clkbuf_leaf_307_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 20 0.05 soc/core/clknet_leaf_307_core_clk (net) + 0.09 0.00 7.94 ^ soc/core/_37966_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 8.19 clock uncertainty + -0.98 7.21 clock reconvergence pessimism + -0.03 7.17 library hold time + 7.17 data required time +----------------------------------------------------------------------------- + 7.17 data required time + -7.43 data arrival time +----------------------------------------------------------------------------- + 0.26 slack (MET) + + +Startpoint: housekeeping/_9012_ + (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9012_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 1.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 2.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 2.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 3.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 3.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 3.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 3.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 3.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 3.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 4.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.10 4.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 4.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 4.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 4.31 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.20 4.50 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.13 0.00 4.50 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.23 0.25 4.75 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net) + 0.23 0.00 4.75 ^ housekeeping/_9012_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.09 0.38 5.13 ^ housekeeping/_9012_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.01 housekeeping/net357 (net) + 0.09 0.00 5.13 ^ housekeeping/_6984_/B1 (sky130_fd_sc_hd__o22a_1) + 0.04 0.13 5.26 ^ housekeeping/_6984_/X (sky130_fd_sc_hd__o22a_1) + 1 0.00 housekeeping/_0262_ (net) + 0.04 0.00 5.26 ^ housekeeping/_9012_/D (sky130_fd_sc_hd__dfxtp_1) + 5.26 data arrival time + + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.23 4.73 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 4.73 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.11 4.84 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 4.84 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.20 5.04 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 5.05 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.22 5.26 ^ housekeeping/clkbuf_2_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_2_0_wb_clk_i (net) + 0.13 0.00 5.27 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.23 0.27 5.54 ^ housekeeping/clkbuf_3_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 10 0.04 housekeeping/clknet_3_4_0_wb_clk_i (net) + 0.23 0.00 5.54 ^ housekeeping/_9012_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.25 5.79 clock uncertainty + -0.79 5.00 clock reconvergence pessimism + -0.01 5.00 library hold time + 5.00 data required time +----------------------------------------------------------------------------- + 5.00 data required time + -5.26 data arrival time +----------------------------------------------------------------------------- + 0.26 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9661_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.41 0.17 18.19 ^ housekeeping/_9661_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 18.19 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 29.50 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.41 0.36 29.87 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.41 0.01 29.88 ^ housekeeping/_9661_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 29.63 clock uncertainty + 0.66 30.29 clock reconvergence pessimism + 0.25 30.54 library recovery time + 30.54 data required time +----------------------------------------------------------------------------- + 30.54 data required time + -18.19 data arrival time +----------------------------------------------------------------------------- + 12.35 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9662_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.41 0.17 18.19 ^ housekeeping/_9662_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 18.19 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 29.50 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.41 0.36 29.87 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.41 0.01 29.88 ^ housekeeping/_9662_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 29.63 clock uncertainty + 0.66 30.29 clock reconvergence pessimism + 0.25 30.54 library recovery time + 30.54 data required time +----------------------------------------------------------------------------- + 30.54 data required time + -18.19 data arrival time +----------------------------------------------------------------------------- + 12.35 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9660_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.41 0.17 18.19 ^ housekeeping/_9660_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 18.19 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 29.50 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.41 0.36 29.87 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.41 0.01 29.88 ^ housekeeping/_9660_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 29.63 clock uncertainty + 0.66 30.29 clock reconvergence pessimism + 0.25 30.54 library recovery time + 30.54 data required time +----------------------------------------------------------------------------- + 30.54 data required time + -18.19 data arrival time +----------------------------------------------------------------------------- + 12.35 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9663_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.41 0.17 18.19 ^ housekeeping/_9663_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 18.19 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 29.50 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.41 0.36 29.87 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.41 0.01 29.88 ^ housekeeping/_9663_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 29.63 clock uncertainty + 0.66 30.29 clock reconvergence pessimism + 0.25 30.54 library recovery time + 30.54 data required time +----------------------------------------------------------------------------- + 30.54 data required time + -18.19 data arrival time +----------------------------------------------------------------------------- + 12.35 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9664_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.41 0.17 18.19 ^ housekeeping/_9664_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 18.19 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 29.50 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.41 0.36 29.87 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.41 0.01 29.88 ^ housekeeping/_9664_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 29.63 clock uncertainty + 0.66 30.29 clock reconvergence pessimism + 0.25 30.54 library recovery time + 30.54 data required time +----------------------------------------------------------------------------- + 30.54 data required time + -18.19 data arrival time +----------------------------------------------------------------------------- + 12.35 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9065_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.39 0.16 18.18 ^ housekeeping/_9065_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 18.18 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 29.50 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.41 0.36 29.87 ^ housekeeping/clkbuf_3_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 housekeeping/clknet_3_7_0_wb_clk_i (net) + 0.41 0.00 29.87 ^ housekeeping/_9065_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 29.62 clock uncertainty + 0.66 30.28 clock reconvergence pessimism + 0.26 30.54 library recovery time + 30.54 data required time +----------------------------------------------------------------------------- + 30.54 data required time + -18.18 data arrival time +----------------------------------------------------------------------------- + 12.36 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9059_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.40 0.16 18.19 ^ housekeeping/_9059_/SET_B (sky130_fd_sc_hd__dfstp_1) + 18.19 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.50 0.00 29.93 ^ housekeeping/_9059_/CLK (sky130_fd_sc_hd__dfstp_1) + -0.25 29.68 clock uncertainty + 0.66 30.34 clock reconvergence pessimism + 0.23 30.57 library recovery time + 30.57 data required time +----------------------------------------------------------------------------- + 30.57 data required time + -18.19 data arrival time +----------------------------------------------------------------------------- + 12.39 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9067_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.40 0.16 18.19 ^ housekeeping/_9067_/RESET_B (sky130_fd_sc_hd__dfrtp_4) + 18.19 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.50 0.00 29.94 ^ housekeeping/_9067_/CLK (sky130_fd_sc_hd__dfrtp_4) + -0.25 29.69 clock uncertainty + 0.66 30.34 clock reconvergence pessimism + 0.25 30.59 library recovery time + 30.59 data required time +----------------------------------------------------------------------------- + 30.59 data required time + -18.19 data arrival time +----------------------------------------------------------------------------- + 12.41 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9066_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.40 0.16 18.19 ^ housekeeping/_9066_/RESET_B (sky130_fd_sc_hd__dfrtp_4) + 18.19 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.50 0.00 29.93 ^ housekeeping/_9066_/CLK (sky130_fd_sc_hd__dfrtp_4) + -0.25 29.68 clock uncertainty + 0.66 30.34 clock reconvergence pessimism + 0.25 30.59 library recovery time + 30.59 data required time +----------------------------------------------------------------------------- + 30.59 data required time + -18.19 data arrival time +----------------------------------------------------------------------------- + 12.41 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9068_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.40 0.16 18.19 ^ housekeeping/_9068_/RESET_B (sky130_fd_sc_hd__dfrtp_4) + 18.19 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.50 0.00 29.93 ^ housekeeping/_9068_/CLK (sky130_fd_sc_hd__dfrtp_4) + -0.25 29.68 clock uncertainty + 0.66 30.34 clock reconvergence pessimism + 0.25 30.59 library recovery time + 30.59 data required time +----------------------------------------------------------------------------- + 30.59 data required time + -18.19 data arrival time +----------------------------------------------------------------------------- + 12.41 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9119_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.40 0.16 18.18 ^ housekeeping/_9119_/RESET_B (sky130_fd_sc_hd__dfrtp_4) + 18.18 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.50 0.00 29.93 ^ housekeeping/_9119_/CLK (sky130_fd_sc_hd__dfrtp_4) + -0.25 29.68 clock uncertainty + 0.66 30.34 clock reconvergence pessimism + 0.25 30.59 library recovery time + 30.59 data required time +----------------------------------------------------------------------------- + 30.59 data required time + -18.18 data arrival time +----------------------------------------------------------------------------- + 12.41 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9587_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.39 0.15 18.17 ^ housekeeping/_9587_/RESET_B (sky130_fd_sc_hd__dfrtp_4) + 18.17 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.50 0.01 29.94 ^ housekeeping/_9587_/CLK (sky130_fd_sc_hd__dfrtp_4) + -0.25 29.69 clock uncertainty + 0.66 30.34 clock reconvergence pessimism + 0.25 30.60 library recovery time + 30.60 data required time +----------------------------------------------------------------------------- + 30.60 data required time + -18.17 data arrival time +----------------------------------------------------------------------------- + 12.42 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9678_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.32 0.10 18.12 ^ housekeeping/_9678_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 18.12 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 29.02 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 29.12 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.05 0.00 29.12 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.17 29.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.15 0.00 29.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.21 29.51 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.16 0.00 29.51 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.38 0.35 29.87 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.38 0.01 29.88 ^ housekeeping/_9678_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 29.63 clock uncertainty + 0.66 30.28 clock reconvergence pessimism + 0.26 30.55 library recovery time + 30.55 data required time +----------------------------------------------------------------------------- + 30.55 data required time + -18.12 data arrival time +----------------------------------------------------------------------------- + 12.43 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9759_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.39 0.15 18.17 ^ housekeeping/_9759_/RESET_B (sky130_fd_sc_hd__dfrtp_4) + 18.17 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.50 0.01 29.94 ^ housekeeping/_9759_/CLK (sky130_fd_sc_hd__dfrtp_4) + -0.25 29.69 clock uncertainty + 0.66 30.34 clock reconvergence pessimism + 0.26 30.60 library recovery time + 30.60 data required time +----------------------------------------------------------------------------- + 30.60 data required time + -18.17 data arrival time +----------------------------------------------------------------------------- + 12.43 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9675_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.32 0.09 18.11 ^ housekeeping/_9675_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 18.11 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 29.02 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 29.12 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.05 0.00 29.12 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.17 29.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.15 0.00 29.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.21 29.51 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.16 0.00 29.51 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.38 0.35 29.87 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.38 0.01 29.88 ^ housekeeping/_9675_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 29.63 clock uncertainty + 0.66 30.28 clock reconvergence pessimism + 0.27 30.55 library recovery time + 30.55 data required time +----------------------------------------------------------------------------- + 30.55 data required time + -18.11 data arrival time +----------------------------------------------------------------------------- + 12.43 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9677_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.32 0.09 18.11 ^ housekeeping/_9677_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 18.11 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 29.02 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 29.12 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.05 0.00 29.12 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.17 29.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.15 0.00 29.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.21 29.51 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.16 0.00 29.51 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.38 0.35 29.87 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.38 0.01 29.87 ^ housekeeping/_9677_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 29.62 clock uncertainty + 0.66 30.28 clock reconvergence pessimism + 0.27 30.55 library recovery time + 30.55 data required time +----------------------------------------------------------------------------- + 30.55 data required time + -18.11 data arrival time +----------------------------------------------------------------------------- + 12.44 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9659_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.41 0.17 18.19 ^ housekeeping/_9659_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 18.19 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.50 0.00 29.94 ^ housekeeping/_9659_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 29.69 clock uncertainty + 0.66 30.34 clock reconvergence pessimism + 0.28 30.63 library recovery time + 30.63 data required time +----------------------------------------------------------------------------- + 30.63 data required time + -18.19 data arrival time +----------------------------------------------------------------------------- + 12.44 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9665_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.41 0.17 18.19 ^ housekeeping/_9665_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 18.19 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.50 0.00 29.94 ^ housekeeping/_9665_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 29.69 clock uncertainty + 0.66 30.34 clock reconvergence pessimism + 0.28 30.63 library recovery time + 30.63 data required time +----------------------------------------------------------------------------- + 30.63 data required time + -18.19 data arrival time +----------------------------------------------------------------------------- + 12.44 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9679_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.31 0.09 18.11 ^ housekeeping/_9679_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 18.11 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 29.02 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 29.12 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.05 0.00 29.12 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.17 29.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.15 0.00 29.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.21 29.51 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.16 0.00 29.51 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.38 0.35 29.87 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.38 0.01 29.87 ^ housekeeping/_9679_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 29.62 clock uncertainty + 0.66 30.28 clock reconvergence pessimism + 0.27 30.55 library recovery time + 30.55 data required time +----------------------------------------------------------------------------- + 30.55 data required time + -18.11 data arrival time +----------------------------------------------------------------------------- + 12.44 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9666_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.40 0.17 18.19 ^ housekeeping/_9666_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 18.19 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.50 0.00 29.94 ^ housekeeping/_9666_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 29.69 clock uncertainty + 0.66 30.34 clock reconvergence pessimism + 0.28 30.63 library recovery time + 30.63 data required time +----------------------------------------------------------------------------- + 30.63 data required time + -18.19 data arrival time +----------------------------------------------------------------------------- + 12.44 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9681_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.31 0.09 18.11 ^ housekeeping/_9681_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 18.11 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 29.02 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 29.12 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.05 0.00 29.12 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.17 29.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.15 0.00 29.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.21 29.51 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.16 0.00 29.51 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.38 0.35 29.87 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.38 0.01 29.87 ^ housekeeping/_9681_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 29.62 clock uncertainty + 0.66 30.28 clock reconvergence pessimism + 0.27 30.55 library recovery time + 30.55 data required time +----------------------------------------------------------------------------- + 30.55 data required time + -18.11 data arrival time +----------------------------------------------------------------------------- + 12.44 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9064_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.40 0.16 18.19 ^ housekeeping/_9064_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 18.19 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.50 0.00 29.93 ^ housekeeping/_9064_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 29.68 clock uncertainty + 0.66 30.34 clock reconvergence pessimism + 0.28 30.63 library recovery time + 30.63 data required time +----------------------------------------------------------------------------- + 30.63 data required time + -18.19 data arrival time +----------------------------------------------------------------------------- + 12.44 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9063_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.40 0.16 18.19 ^ housekeeping/_9063_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 18.19 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.50 0.00 29.93 ^ housekeeping/_9063_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 29.68 clock uncertainty + 0.66 30.34 clock reconvergence pessimism + 0.28 30.63 library recovery time + 30.63 data required time +----------------------------------------------------------------------------- + 30.63 data required time + -18.19 data arrival time +----------------------------------------------------------------------------- + 12.44 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9061_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.40 0.16 18.19 ^ housekeeping/_9061_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 18.19 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.50 0.00 29.93 ^ housekeeping/_9061_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 29.68 clock uncertainty + 0.66 30.34 clock reconvergence pessimism + 0.28 30.63 library recovery time + 30.63 data required time +----------------------------------------------------------------------------- + 30.63 data required time + -18.19 data arrival time +----------------------------------------------------------------------------- + 12.44 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9640_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.38 0.15 18.17 ^ housekeeping/_9640_/RESET_B (sky130_fd_sc_hd__dfrtp_2) + 18.17 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.50 0.01 29.94 ^ housekeeping/_9640_/CLK (sky130_fd_sc_hd__dfrtp_2) + -0.25 29.69 clock uncertainty + 0.66 30.34 clock reconvergence pessimism + 0.27 30.61 library recovery time + 30.61 data required time +----------------------------------------------------------------------------- + 30.61 data required time + -18.17 data arrival time +----------------------------------------------------------------------------- + 12.44 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9060_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.40 0.16 18.18 ^ housekeeping/_9060_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 18.18 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.50 0.00 29.93 ^ housekeeping/_9060_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 29.68 clock uncertainty + 0.66 30.34 clock reconvergence pessimism + 0.29 30.63 library recovery time + 30.63 data required time +----------------------------------------------------------------------------- + 30.63 data required time + -18.18 data arrival time +----------------------------------------------------------------------------- + 12.44 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9676_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.31 0.08 18.10 ^ housekeeping/_9676_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 18.10 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 29.02 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 29.12 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.05 0.00 29.12 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.17 29.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.15 0.00 29.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.21 29.51 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.16 0.00 29.51 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.38 0.35 29.87 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.38 0.01 29.87 ^ housekeeping/_9676_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 29.62 clock uncertainty + 0.66 30.28 clock reconvergence pessimism + 0.27 30.55 library recovery time + 30.55 data required time +----------------------------------------------------------------------------- + 30.55 data required time + -18.10 data arrival time +----------------------------------------------------------------------------- + 12.44 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9680_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.31 0.08 18.10 ^ housekeeping/_9680_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 18.10 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 29.02 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 29.12 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.05 0.00 29.12 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.17 29.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.15 0.00 29.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.21 29.51 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.16 0.00 29.51 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.38 0.35 29.87 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.38 0.01 29.87 ^ housekeeping/_9680_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 29.62 clock uncertainty + 0.66 30.28 clock reconvergence pessimism + 0.27 30.55 library recovery time + 30.55 data required time +----------------------------------------------------------------------------- + 30.55 data required time + -18.10 data arrival time +----------------------------------------------------------------------------- + 12.45 slack (MET) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: housekeeping/_9062_ (recovery check against rising-edge clock clock) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 12.50 12.50 clock clock' (rise edge) + 0.00 12.50 clock source latency + 0.00 0.00 12.50 v clock (in) + 1 1.12 clock (net) + 0.00 2.03 14.53 v padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.09 1.63 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.09 0.00 16.16 v clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.00 clocking/clknet_0_ext_clk (net) + 0.03 0.00 16.33 v clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.02 0.00 16.42 v clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.06 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.06 0.00 16.71 v clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.17 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.17 0.01 17.15 v clocking/_346__7/A (sky130_fd_sc_hd__inv_4) + 0.04 0.07 17.22 ^ clocking/_346__7/Y (sky130_fd_sc_hd__inv_4) + 1 0.00 clocking/net20 (net) + 0.04 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.03 0.36 17.59 v clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 1 0.00 clocking/reset_delay[0] (net) + 0.03 0.00 17.59 v clocking/_393_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.07 17.66 ^ clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 clocking/net11 (net) + 0.07 0.00 17.67 ^ clocking/output11/A (sky130_fd_sc_hd__buf_2) + 0.09 0.15 17.82 ^ clocking/output11/X (sky130_fd_sc_hd__buf_2) + 5 0.02 caravel_rstn (net) + 0.09 0.00 17.82 ^ housekeeping/input196/A (sky130_fd_sc_hd__buf_12) + 0.26 0.20 18.02 ^ housekeeping/input196/X (sky130_fd_sc_hd__buf_12) + 30 0.25 housekeeping/net196 (net) + 0.39 0.16 18.18 ^ housekeeping/_9062_/RESET_B (sky130_fd_sc_hd__dfrtp_1) + 18.18 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 29.02 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.04 0.10 29.12 ^ housekeeping/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_1_0_wb_clk_i (net) + 0.04 0.00 29.12 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.18 29.30 ^ housekeeping/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_1_1_wb_clk_i (net) + 0.17 0.00 29.31 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.20 29.50 ^ housekeeping/clkbuf_2_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.02 housekeeping/clknet_2_3_0_wb_clk_i (net) + 0.13 0.00 29.50 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.50 0.43 29.93 ^ housekeeping/clkbuf_3_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 18 0.09 housekeeping/clknet_3_6_0_wb_clk_i (net) + 0.50 0.00 29.94 ^ housekeeping/_9062_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 29.69 clock uncertainty + 0.66 30.34 clock reconvergence pessimism + 0.29 30.63 library recovery time + 30.63 data required time +----------------------------------------------------------------------------- + 30.63 data required time + -18.18 data arrival time +----------------------------------------------------------------------------- + 12.45 slack (MET) + + +Startpoint: soc/core/_38911_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: flash_csb (output port clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.18 6.16 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.29 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.04 0.00 6.29 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.20 6.49 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.13 0.01 6.49 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.22 6.72 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.11 0.00 6.72 ^ soc/core/clkbuf_5_30_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.16 6.87 ^ soc/core/clkbuf_5_30_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_30_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_30_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.79 0.67 7.54 ^ soc/core/clkbuf_5_30_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 30 0.27 soc/core/clknet_5_30_1_core_clk (net) + 0.79 0.04 7.58 ^ soc/core/clkbuf_leaf_175_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.10 0.34 7.92 ^ soc/core/clkbuf_leaf_175_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.07 soc/core/clknet_leaf_175_core_clk (net) + 0.10 0.00 7.92 ^ soc/core/_38911_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.10 0.40 8.32 v soc/core/_38911_/Q (sky130_fd_sc_hd__dfxtp_1) + 4 0.02 soc/core/mgmtsoc_litespisdrphycore_count[0] (net) + 0.10 0.00 8.32 v soc/core/_20112_/C (sky130_fd_sc_hd__nor3_4) + 0.44 0.38 8.70 ^ soc/core/_20112_/Y (sky130_fd_sc_hd__nor3_4) + 6 0.04 soc/core/_09403_ (net) + 0.44 0.00 8.71 ^ soc/core/_20115_/A (sky130_fd_sc_hd__nand2_8) + 0.15 0.16 8.87 v soc/core/_20115_/Y (sky130_fd_sc_hd__nand2_8) + 2 0.06 soc/core/net242 (net) + 0.16 0.01 8.88 v soc/core/output242/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.18 9.07 v soc/core/output242/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 flash_csb_core (net) + 0.03 0.00 9.07 v housekeeping/input84/A (sky130_fd_sc_hd__buf_4) + 0.09 0.19 9.26 v housekeeping/input84/X (sky130_fd_sc_hd__buf_4) + 1 0.07 housekeeping/net84 (net) + 0.10 0.02 9.28 v housekeeping/_8836_/A0 (sky130_fd_sc_hd__mux2_2) + 0.09 0.35 9.63 v housekeeping/_8836_/X (sky130_fd_sc_hd__mux2_2) + 1 0.02 housekeeping/net252 (net) + 0.09 0.00 9.63 v housekeeping/output252/A (sky130_fd_sc_hd__buf_2) + 0.09 0.21 9.84 v housekeeping/output252/X (sky130_fd_sc_hd__buf_2) + 1 0.04 flash_csb_frame (net) + 0.09 0.00 9.84 v padframe/flash_csb_pad/OUT (sky130_ef_io__gpiov2_pad_wrapped) + 13.20 10.37 20.21 v padframe/flash_csb_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 7 1.15 flash_csb (net) + 13.20 0.00 20.21 v flash_csb (out) + 20.21 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock network delay (propagated) + -0.25 24.75 clock uncertainty + 0.00 24.75 clock reconvergence pessimism + -5.00 19.75 output external delay + 19.75 data required time +----------------------------------------------------------------------------- + 19.75 data required time + -20.21 data arrival time +----------------------------------------------------------------------------- + -0.46 slack (VIOLATED) + + +Startpoint: soc/core/_34932_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: flash_clk (output port clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.18 6.16 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.29 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.04 0.00 6.29 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.20 6.49 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.13 0.01 6.49 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.22 6.72 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.11 0.00 6.72 ^ soc/core/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_31_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.93 0.76 7.64 ^ soc/core/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 38 0.32 soc/core/clknet_5_31_1_core_clk (net) + 0.93 0.04 7.68 ^ soc/core/clkbuf_leaf_186_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.10 0.35 8.02 ^ soc/core/clkbuf_leaf_186_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 26 0.06 soc/core/clknet_leaf_186_core_clk (net) + 0.10 0.00 8.02 ^ soc/core/_34932_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.06 0.36 8.38 v soc/core/_34932_/Q (sky130_fd_sc_hd__dfxtp_1) + 1 0.01 soc/core/net241 (net) + 0.06 0.00 8.38 v soc/core/output241/A (sky130_fd_sc_hd__clkbuf_4) + 0.03 0.14 8.52 v soc/core/output241/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 flash_clk_core (net) + 0.03 0.00 8.52 v housekeeping/input83/A (sky130_fd_sc_hd__buf_6) + 0.11 0.19 8.71 v housekeeping/input83/X (sky130_fd_sc_hd__buf_6) + 15 0.12 housekeeping/net83 (net) + 0.11 0.01 8.72 v housekeeping/_8837_/A0 (sky130_fd_sc_hd__mux2_1) + 0.07 0.33 9.05 v housekeeping/_8837_/X (sky130_fd_sc_hd__mux2_1) + 1 0.00 housekeeping/net250 (net) + 0.07 0.00 9.05 v housekeeping/output250/A (sky130_fd_sc_hd__clkbuf_1) + 0.21 0.25 9.31 v housekeeping/output250/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.04 flash_clk_frame (net) + 0.21 0.00 9.31 v padframe/flash_clk_pad/OUT (sky130_ef_io__gpiov2_pad_wrapped) + 13.20 10.44 19.75 v padframe/flash_clk_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 7 1.15 flash_clk (net) + 13.20 0.00 19.75 v flash_clk (out) + 19.75 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock network delay (propagated) + -0.25 24.75 clock uncertainty + 0.00 24.75 clock reconvergence pessimism + -5.00 19.75 output external delay + 19.75 data required time +----------------------------------------------------------------------------- + 19.75 data required time + -19.75 data arrival time +----------------------------------------------------------------------------- + 0.00 slack (MET) + + +Startpoint: soc/core/_34930_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: flash_io0 (output port clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.18 6.16 ^ soc/core/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.29 ^ soc/core/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_7_1_core_clk (net) + 0.04 0.00 6.29 ^ soc/core/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.20 6.49 ^ soc/core/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_7_2_core_clk (net) + 0.13 0.01 6.49 ^ soc/core/clkbuf_4_15_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.11 0.22 6.72 ^ soc/core/clkbuf_4_15_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_15_0_core_clk (net) + 0.11 0.00 6.72 ^ soc/core/clkbuf_5_31_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_31_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_31_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_31_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.93 0.76 7.64 ^ soc/core/clkbuf_5_31_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 38 0.32 soc/core/clknet_5_31_1_core_clk (net) + 0.93 0.04 7.68 ^ soc/core/clkbuf_leaf_184_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 8.00 ^ soc/core/clkbuf_leaf_184_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 16 0.04 soc/core/clknet_leaf_184_core_clk (net) + 0.08 0.00 8.01 ^ soc/core/_34930_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.04 0.34 8.34 v soc/core/_34930_/Q (sky130_fd_sc_hd__dfxtp_1) + 1 0.01 soc/core/net243 (net) + 0.04 0.00 8.34 v soc/core/output243/A (sky130_fd_sc_hd__clkbuf_4) + 0.02 0.13 8.47 v soc/core/output243/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.00 flash_io0_do_core (net) + 0.02 0.00 8.47 v housekeeping/input85/A (sky130_fd_sc_hd__buf_4) + 0.12 0.22 8.69 v housekeeping/input85/X (sky130_fd_sc_hd__buf_4) + 5 0.09 housekeeping/net85 (net) + 0.12 0.01 8.70 v housekeeping/_8838_/A0 (sky130_fd_sc_hd__mux2_2) + 0.09 0.36 9.06 v housekeeping/_8838_/X (sky130_fd_sc_hd__mux2_2) + 1 0.02 housekeeping/net254 (net) + 0.09 0.00 9.06 v housekeeping/output254/A (sky130_fd_sc_hd__buf_2) + 0.09 0.21 9.28 v housekeeping/output254/X (sky130_fd_sc_hd__buf_2) + 1 0.04 flash_io0_do (net) + 0.09 0.00 9.28 v padframe/flash_io0_pad/OUT (sky130_ef_io__gpiov2_pad_wrapped) + 13.19 10.37 19.65 v padframe/flash_io0_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 7 1.15 flash_io0 (net) + 13.19 0.00 19.65 v flash_io0 (out) + 19.65 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock network delay (propagated) + -0.25 24.75 clock uncertainty + 0.00 24.75 clock reconvergence pessimism + -5.00 19.75 output external delay + 19.75 data required time +----------------------------------------------------------------------------- + 19.75 data required time + -19.65 data arrival time +----------------------------------------------------------------------------- + 0.10 slack (MET) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: housekeeping/_9675_ (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 1.43 0.18 10.51 ^ soc/core/_33554_/S (sky130_fd_sc_hd__mux2_8) + 0.17 0.61 11.12 v soc/core/_33554_/X (sky130_fd_sc_hd__mux2_8) + 12 0.16 soc/core/_02133_ (net) + 0.18 0.04 11.15 v soc/core/_17321_/A (sky130_fd_sc_hd__inv_2) + 0.05 0.09 11.25 ^ soc/core/_17321_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net638 (net) + 0.05 0.00 11.25 ^ soc/core/repeater1831/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 11.35 ^ soc/core/repeater1831/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1831 (net) + 0.07 0.00 11.35 ^ soc/core/repeater1830/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 11.44 ^ soc/core/repeater1830/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1830 (net) + 0.05 0.00 11.44 ^ soc/core/repeater1829/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.09 11.54 ^ soc/core/repeater1829/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1829 (net) + 0.06 0.00 11.54 ^ soc/core/repeater1828/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.64 ^ soc/core/repeater1828/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1828 (net) + 0.06 0.00 11.64 ^ soc/core/repeater1827/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 11.73 ^ soc/core/repeater1827/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1827 (net) + 0.05 0.00 11.73 ^ soc/core/repeater1826/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.83 ^ soc/core/repeater1826/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1826 (net) + 0.06 0.00 11.83 ^ soc/core/repeater1825/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.93 ^ soc/core/repeater1825/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1825 (net) + 0.06 0.00 11.93 ^ soc/core/repeater1824/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 12.03 ^ soc/core/repeater1824/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1824 (net) + 0.05 0.00 12.03 ^ soc/core/repeater1823/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 12.13 ^ soc/core/repeater1823/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1823 (net) + 0.06 0.00 12.13 ^ soc/core/repeater1822/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.12 12.24 ^ soc/core/repeater1822/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1822 (net) + 0.08 0.00 12.24 ^ soc/core/repeater1820/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 12.35 ^ soc/core/repeater1820/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1820 (net) + 0.05 0.00 12.35 ^ soc/core/repeater1819/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 12.44 ^ soc/core/repeater1819/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1819 (net) + 0.06 0.00 12.44 ^ soc/core/repeater1818/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 12.53 ^ soc/core/repeater1818/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1818 (net) + 0.04 0.00 12.53 ^ soc/core/repeater1817/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.62 ^ soc/core/repeater1817/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1817 (net) + 0.05 0.00 12.62 ^ soc/core/repeater1816/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.72 ^ soc/core/repeater1816/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1816 (net) + 0.05 0.00 12.72 ^ soc/core/repeater1815/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.11 12.82 ^ soc/core/repeater1815/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1815 (net) + 0.07 0.00 12.82 ^ soc/core/repeater1814/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 12.91 ^ soc/core/repeater1814/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1814 (net) + 0.04 0.00 12.91 ^ soc/core/repeater1813/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 13.00 ^ soc/core/repeater1813/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1813 (net) + 0.05 0.00 13.00 ^ soc/core/repeater1812/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 13.09 ^ soc/core/repeater1812/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1812 (net) + 0.05 0.00 13.09 ^ soc/core/repeater1811/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 13.19 ^ soc/core/repeater1811/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1811 (net) + 0.07 0.00 13.19 ^ soc/core/repeater1810/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 13.29 ^ soc/core/repeater1810/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1810 (net) + 0.05 0.00 13.29 ^ soc/core/repeater1809/A (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.17 0.19 13.48 ^ soc/core/repeater1809/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 2 0.02 soc/core/net1809 (net) + 0.17 0.00 13.48 ^ soc/core/repeater1808/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.20 13.68 ^ soc/core/repeater1808/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1808 (net) + 0.16 0.00 13.68 ^ soc/core/repeater1807/A (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.18 0.23 13.91 ^ soc/core/repeater1807/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 1 0.02 soc/core/net1807 (net) + 0.18 0.00 13.91 ^ soc/core/repeater1806/A (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.18 0.23 14.14 ^ soc/core/repeater1806/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 1 0.02 soc/core/net1806 (net) + 0.18 0.00 14.14 ^ soc/core/repeater1805/A (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.17 0.22 14.36 ^ soc/core/repeater1805/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 1 0.01 soc/core/net1805 (net) + 0.17 0.00 14.37 ^ soc/core/repeater1804/A (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.22 0.26 14.62 ^ soc/core/repeater1804/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 1 0.02 soc/core/net1804 (net) + 0.22 0.00 14.62 ^ soc/core/repeater1803/A (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.18 0.24 14.87 ^ soc/core/repeater1803/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 2 0.02 soc/core/net1803 (net) + 0.18 0.00 14.87 ^ soc/core/repeater1802/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.12 14.98 ^ soc/core/repeater1802/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1802 (net) + 0.05 0.00 14.98 ^ soc/core/repeater1801/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 15.07 ^ soc/core/repeater1801/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1801 (net) + 0.04 0.00 15.07 ^ soc/core/repeater1800/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.16 ^ soc/core/repeater1800/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1800 (net) + 0.05 0.00 15.16 ^ soc/core/repeater1799/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.25 ^ soc/core/repeater1799/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1799 (net) + 0.05 0.00 15.25 ^ soc/core/repeater1798/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 15.35 ^ soc/core/repeater1798/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1798 (net) + 0.05 0.00 15.35 ^ soc/core/repeater1797/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.44 ^ soc/core/repeater1797/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1797 (net) + 0.05 0.00 15.44 ^ soc/core/repeater1796/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.53 ^ soc/core/repeater1796/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1796 (net) + 0.05 0.00 15.53 ^ soc/core/repeater1795/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 15.62 ^ soc/core/repeater1795/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1795 (net) + 0.04 0.00 15.62 ^ soc/core/repeater1794/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.70 ^ soc/core/repeater1794/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1794 (net) + 0.05 0.00 15.70 ^ soc/core/repeater1793/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.79 ^ soc/core/repeater1793/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1793 (net) + 0.05 0.00 15.79 ^ soc/core/repeater1792/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.88 ^ soc/core/repeater1792/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1792 (net) + 0.05 0.00 15.88 ^ soc/core/repeater1791/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.97 ^ soc/core/repeater1791/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1791 (net) + 0.05 0.00 15.97 ^ soc/core/repeater1790/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.06 ^ soc/core/repeater1790/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1790 (net) + 0.05 0.00 16.06 ^ soc/core/repeater1789/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.15 ^ soc/core/repeater1789/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1789 (net) + 0.05 0.00 16.15 ^ soc/core/repeater1788/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 16.23 ^ soc/core/repeater1788/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1788 (net) + 0.04 0.00 16.23 ^ soc/core/repeater1787/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.32 ^ soc/core/repeater1787/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1787 (net) + 0.05 0.00 16.32 ^ soc/core/_32524_/A (sky130_fd_sc_hd__clkbuf_2) + 0.08 0.14 16.45 ^ soc/core/_32524_/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 soc/core/net705 (net) + 0.08 0.00 16.46 ^ soc/core/output705/A (sky130_fd_sc_hd__clkbuf_4) + 0.06 0.16 16.61 ^ soc/core/output705/X (sky130_fd_sc_hd__clkbuf_4) + 3 0.01 mprj_adr_o_core[4] (net) + 0.06 0.00 16.61 ^ housekeeping/input157/A (sky130_fd_sc_hd__buf_4) + 0.15 0.20 16.81 ^ housekeeping/input157/X (sky130_fd_sc_hd__buf_4) + 7 0.05 housekeeping/net157 (net) + 0.15 0.01 16.82 ^ housekeeping/_7894_/B (sky130_fd_sc_hd__or4_1) + 0.06 0.16 16.98 ^ housekeeping/_7894_/X (sky130_fd_sc_hd__or4_1) + 1 0.01 housekeeping/_3525_ (net) + 0.06 0.00 16.98 ^ housekeeping/_7895_/A (sky130_fd_sc_hd__clkbuf_8) + 0.13 0.21 17.18 ^ housekeeping/_7895_/X (sky130_fd_sc_hd__clkbuf_8) + 12 0.07 housekeeping/_3526_ (net) + 0.13 0.00 17.18 ^ housekeeping/_8216_/B (sky130_fd_sc_hd__or2_2) + 0.12 0.21 17.39 ^ housekeeping/_8216_/X (sky130_fd_sc_hd__or2_2) + 3 0.02 housekeeping/_3846_ (net) + 0.12 0.00 17.39 ^ housekeeping/_8217_/D1 (sky130_fd_sc_hd__o2111ai_1) + 0.09 0.12 17.51 v housekeeping/_8217_/Y (sky130_fd_sc_hd__o2111ai_1) + 1 0.00 housekeeping/_3847_ (net) + 0.09 0.00 17.51 v housekeeping/_8220_/A3 (sky130_fd_sc_hd__o32a_2) + 0.09 0.41 17.92 v housekeeping/_8220_/X (sky130_fd_sc_hd__o32a_2) + 1 0.02 housekeeping/_3850_ (net) + 0.09 0.00 17.92 v housekeeping/_8224_/C (sky130_fd_sc_hd__or4b_2) + 0.13 0.71 18.63 v housekeeping/_8224_/X (sky130_fd_sc_hd__or4b_2) + 1 0.01 housekeeping/_3854_ (net) + 0.13 0.00 18.63 v housekeeping/_8227_/B (sky130_fd_sc_hd__or4_1) + 0.10 0.57 19.20 v housekeeping/_8227_/X (sky130_fd_sc_hd__or4_1) + 1 0.01 housekeeping/_3857_ (net) + 0.10 0.00 19.20 v housekeeping/_8231_/A (sky130_fd_sc_hd__or4_1) + 0.09 0.57 19.77 v housekeeping/_8231_/X (sky130_fd_sc_hd__or4_1) + 1 0.00 housekeeping/_3861_ (net) + 0.09 0.00 19.77 v housekeeping/_8237_/A (sky130_fd_sc_hd__or4_1) + 0.10 0.58 20.36 v housekeeping/_8237_/X (sky130_fd_sc_hd__or4_1) + 1 0.01 housekeeping/_3867_ (net) + 0.10 0.00 20.36 v housekeeping/_8241_/A (sky130_fd_sc_hd__or4_1) + 0.10 0.58 20.94 v housekeeping/_8241_/X (sky130_fd_sc_hd__or4_1) + 1 0.00 housekeeping/_3871_ (net) + 0.10 0.00 20.94 v housekeeping/_8245_/A (sky130_fd_sc_hd__or3_1) + 0.08 0.42 21.36 v housekeeping/_8245_/X (sky130_fd_sc_hd__or3_1) + 1 0.01 housekeeping/_3875_ (net) + 0.08 0.00 21.36 v housekeeping/_8248_/A (sky130_fd_sc_hd__or3_1) + 0.06 0.39 21.75 v housekeeping/_8248_/X (sky130_fd_sc_hd__or3_1) + 1 0.00 housekeeping/_3878_ (net) + 0.06 0.00 21.75 v housekeeping/_8251_/A (sky130_fd_sc_hd__or2_1) + 0.04 0.23 21.98 v housekeeping/_8251_/X (sky130_fd_sc_hd__or2_1) + 1 0.00 housekeeping/_3881_ (net) + 0.04 0.00 21.98 v housekeeping/_8253_/A (sky130_fd_sc_hd__or2_1) + 0.04 0.22 22.20 v housekeeping/_8253_/X (sky130_fd_sc_hd__or2_1) + 1 0.00 housekeeping/_3883_ (net) + 0.04 0.00 22.20 v housekeeping/_8255_/A (sky130_fd_sc_hd__or2_1) + 0.04 0.22 22.43 v housekeeping/_8255_/X (sky130_fd_sc_hd__or2_1) + 1 0.00 housekeeping/_3885_ (net) + 0.04 0.00 22.43 v housekeeping/_8257_/A (sky130_fd_sc_hd__or2_1) + 0.05 0.23 22.65 v housekeeping/_8257_/X (sky130_fd_sc_hd__or2_1) + 1 0.00 housekeeping/_3887_ (net) + 0.05 0.00 22.65 v housekeeping/_8259_/A (sky130_fd_sc_hd__or2_1) + 0.05 0.24 22.89 v housekeeping/_8259_/X (sky130_fd_sc_hd__or2_1) + 1 0.00 housekeeping/_3889_ (net) + 0.05 0.00 22.89 v housekeeping/_8261_/A (sky130_fd_sc_hd__or2_1) + 0.04 0.22 23.12 v housekeeping/_8261_/X (sky130_fd_sc_hd__or2_1) + 1 0.00 housekeeping/_3891_ (net) + 0.04 0.00 23.12 v housekeeping/_8263_/A (sky130_fd_sc_hd__or2_1) + 0.09 0.28 23.40 v housekeeping/_8263_/X (sky130_fd_sc_hd__or2_1) + 1 0.01 housekeeping/_3893_ (net) + 0.09 0.00 23.40 v housekeeping/_8265_/A (sky130_fd_sc_hd__or2_1) + 0.04 0.24 23.64 v housekeeping/_8265_/X (sky130_fd_sc_hd__or2_1) + 1 0.00 housekeeping/_3895_ (net) + 0.04 0.00 23.64 v housekeeping/_8267_/A (sky130_fd_sc_hd__or2_1) + 0.05 0.24 23.88 v housekeeping/_8267_/X (sky130_fd_sc_hd__or2_1) + 1 0.00 housekeeping/_3897_ (net) + 0.05 0.00 23.88 v housekeeping/_8269_/A (sky130_fd_sc_hd__or2_1) + 0.05 0.23 24.12 v housekeeping/_8269_/X (sky130_fd_sc_hd__or2_1) + 1 0.00 housekeeping/_3899_ (net) + 0.05 0.00 24.12 v housekeeping/_8271_/A (sky130_fd_sc_hd__or2_1) + 0.08 0.27 24.39 v housekeeping/_8271_/X (sky130_fd_sc_hd__or2_1) + 1 0.01 housekeeping/_3901_ (net) + 0.08 0.00 24.39 v housekeeping/_8273_/A (sky130_fd_sc_hd__or2_1) + 0.05 0.24 24.63 v housekeeping/_8273_/X (sky130_fd_sc_hd__or2_1) + 1 0.00 housekeeping/_3903_ (net) + 0.05 0.00 24.63 v housekeeping/_8275_/A (sky130_fd_sc_hd__or2_2) + 0.14 0.42 25.05 v housekeeping/_8275_/X (sky130_fd_sc_hd__or2_2) + 1 0.04 housekeeping/_3905_ (net) + 0.14 0.01 25.06 v housekeeping/_8280_/A_N (sky130_fd_sc_hd__nand3b_1) + 0.05 0.19 25.25 v housekeeping/_8280_/Y (sky130_fd_sc_hd__nand3b_1) + 1 0.00 housekeeping/_3910_ (net) + 0.05 0.00 25.25 v housekeeping/_8281_/B (sky130_fd_sc_hd__or2_1) + 0.05 0.21 25.47 v housekeeping/_8281_/X (sky130_fd_sc_hd__or2_1) + 1 0.00 housekeeping/_3911_ (net) + 0.05 0.00 25.47 v housekeeping/_8283_/A (sky130_fd_sc_hd__or2_1) + 0.05 0.24 25.71 v housekeeping/_8283_/X (sky130_fd_sc_hd__or2_1) + 1 0.00 housekeeping/_3913_ (net) + 0.05 0.00 25.71 v housekeeping/_8284_/B (sky130_fd_sc_hd__or2_1) + 0.04 0.20 25.91 v housekeeping/_8284_/X (sky130_fd_sc_hd__or2_1) + 1 0.00 housekeeping/_3914_ (net) + 0.04 0.00 25.91 v housekeeping/_8285_/B (sky130_fd_sc_hd__or2_1) + 0.04 0.20 26.11 v housekeeping/_8285_/X (sky130_fd_sc_hd__or2_1) + 1 0.00 housekeeping/_3915_ (net) + 0.04 0.00 26.11 v housekeeping/_8286_/C (sky130_fd_sc_hd__or3_1) + 0.06 0.30 26.41 v housekeeping/_8286_/X (sky130_fd_sc_hd__or3_1) + 1 0.00 housekeeping/_3916_ (net) + 0.06 0.00 26.41 v housekeeping/_8287_/B (sky130_fd_sc_hd__or2_1) + 0.06 0.23 26.65 v housekeeping/_8287_/X (sky130_fd_sc_hd__or2_1) + 1 0.01 housekeeping/_3917_ (net) + 0.06 0.00 26.65 v housekeeping/_8288_/B1 (sky130_fd_sc_hd__a31o_1) + 0.04 0.20 26.84 v housekeeping/_8288_/X (sky130_fd_sc_hd__a31o_1) + 1 0.00 housekeeping/_3918_ (net) + 0.04 0.00 26.84 v housekeeping/_8289_/B1 (sky130_fd_sc_hd__a31o_1) + 0.05 0.21 27.05 v housekeeping/_8289_/X (sky130_fd_sc_hd__a31o_1) + 1 0.01 housekeeping/_3919_ (net) + 0.05 0.00 27.05 v housekeeping/_8290_/B (sky130_fd_sc_hd__or2_1) + 0.04 0.21 27.25 v housekeeping/_8290_/X (sky130_fd_sc_hd__or2_1) + 1 0.00 housekeeping/_3920_ (net) + 0.04 0.00 27.25 v housekeeping/_8291_/C (sky130_fd_sc_hd__or3_1) + 0.06 0.31 27.57 v housekeeping/_8291_/X (sky130_fd_sc_hd__or3_1) + 1 0.00 housekeeping/_3921_ (net) + 0.06 0.00 27.57 v housekeeping/_8292_/B (sky130_fd_sc_hd__nor2_1) + 0.07 0.08 27.65 ^ housekeeping/_8292_/Y (sky130_fd_sc_hd__nor2_1) + 1 0.00 housekeeping/_3922_ (net) + 0.07 0.00 27.65 ^ housekeeping/_8294_/A (sky130_fd_sc_hd__or2_1) + 0.06 0.12 27.77 ^ housekeeping/_8294_/X (sky130_fd_sc_hd__or2_1) + 1 0.00 housekeeping/_3924_ (net) + 0.06 0.00 27.77 ^ housekeeping/_8295_/B (sky130_fd_sc_hd__and2_1) + 0.04 0.12 27.89 ^ housekeeping/_8295_/X (sky130_fd_sc_hd__and2_1) + 1 0.00 housekeeping/_3925_ (net) + 0.04 0.00 27.89 ^ housekeeping/_8296_/B (sky130_fd_sc_hd__nand2_1) + 0.06 0.07 27.97 v housekeeping/_8296_/Y (sky130_fd_sc_hd__nand2_1) + 1 0.01 housekeeping/_0179_ (net) + 0.06 0.00 27.97 v housekeeping/_8969_/A0 (sky130_fd_sc_hd__mux2_4) + 0.11 0.37 28.33 v housekeeping/_8969_/X (sky130_fd_sc_hd__mux2_4) + 1 0.05 housekeeping/_4401_ (net) + 0.11 0.01 28.34 v housekeeping/_5044_/A1 (sky130_fd_sc_hd__a22o_1) + 0.05 0.25 28.59 v housekeeping/_5044_/X (sky130_fd_sc_hd__a22o_1) + 1 0.00 housekeeping/_0904_ (net) + 0.05 0.00 28.59 v housekeeping/_9675_/D (sky130_fd_sc_hd__dfrtp_1) + 28.59 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ housekeeping/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.20 29.02 ^ housekeeping/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.03 housekeeping/clknet_0_wb_clk_i (net) + 0.05 0.00 29.02 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.05 0.11 29.12 ^ housekeeping/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.01 housekeeping/clknet_1_0_0_wb_clk_i (net) + 0.05 0.00 29.12 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.15 0.17 29.30 ^ housekeeping/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_1_0_1_wb_clk_i (net) + 0.15 0.00 29.30 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.21 29.51 ^ housekeeping/clkbuf_2_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 housekeeping/clknet_2_0_0_wb_clk_i (net) + 0.16 0.00 29.51 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_2) + 0.38 0.35 29.87 ^ housekeeping/clkbuf_3_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_2) + 9 0.07 housekeeping/clknet_3_1_0_wb_clk_i (net) + 0.38 0.01 29.88 ^ housekeeping/_9675_/CLK (sky130_fd_sc_hd__dfrtp_1) + -0.25 29.63 clock uncertainty + 0.69 30.31 clock reconvergence pessimism + -0.04 30.28 library setup time + 30.28 data required time +----------------------------------------------------------------------------- + 30.28 data required time + -28.59 data arrival time +----------------------------------------------------------------------------- + 1.69 slack (MET) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[8] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.55 0.47 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.55 0.02 23.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.28 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.A_buf[3] (net) + 0.12 0.00 24.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4) + 0.04 0.20 24.28 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.EN (net) + 0.04 0.00 24.28 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.11 0.16 24.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.EN_buf (net) + 0.11 0.00 24.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.AND0/D_N (sky130_fd_sc_hd__nor4b_2) + 0.20 0.22 24.66 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.AND0/Y (sky130_fd_sc_hd__nor4b_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SEL (net) + 0.20 0.00 24.66 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.52 0.50 25.16 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.SEL (net) + 0.52 0.01 25.17 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.25 0.33 25.50 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.SEL_B (net) + 0.25 0.00 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.BIT[0].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 2.78 2.18 27.69 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.BIT[0].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.24 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_pre[8] (net) + 2.80 0.10 27.79 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[8]/D (sky130_fd_sc_hd__dfxtp_1) + 27.79 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 2.14 1.55 30.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.74 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 2.15 0.09 30.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[8]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 30.20 clock uncertainty + 0.69 30.88 clock reconvergence pessimism + -0.16 30.72 library setup time + 30.72 data required time +----------------------------------------------------------------------------- + 30.72 data required time + -27.79 data arrival time +----------------------------------------------------------------------------- + 2.93 slack (MET) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[15] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.55 0.47 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.55 0.02 23.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.28 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.A_buf[3] (net) + 0.12 0.00 24.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4) + 0.04 0.20 24.28 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.EN (net) + 0.04 0.00 24.28 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.11 0.16 24.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.EN_buf (net) + 0.11 0.00 24.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.AND0/D_N (sky130_fd_sc_hd__nor4b_2) + 0.20 0.22 24.66 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.AND0/Y (sky130_fd_sc_hd__nor4b_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SEL (net) + 0.20 0.00 24.66 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.52 0.50 25.16 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.SEL (net) + 0.52 0.01 25.17 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.25 0.33 25.50 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.SEL_B (net) + 0.25 0.00 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.BIT[7].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 2.80 2.21 27.72 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.BIT[7].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.24 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_pre[15] (net) + 2.80 0.06 27.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[15]/D (sky130_fd_sc_hd__dfxtp_1) + 27.78 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 2.14 1.55 30.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.74 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 2.15 0.08 30.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[15]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 30.19 clock uncertainty + 0.69 30.88 clock reconvergence pessimism + -0.16 30.72 library setup time + 30.72 data required time +----------------------------------------------------------------------------- + 30.72 data required time + -27.78 data arrival time +----------------------------------------------------------------------------- + 2.94 slack (MET) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[12] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.55 0.47 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.55 0.02 23.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.28 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.A_buf[3] (net) + 0.12 0.00 24.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4) + 0.04 0.20 24.28 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.EN (net) + 0.04 0.00 24.28 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.11 0.16 24.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.EN_buf (net) + 0.11 0.00 24.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.AND0/D_N (sky130_fd_sc_hd__nor4b_2) + 0.20 0.22 24.66 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.AND0/Y (sky130_fd_sc_hd__nor4b_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SEL (net) + 0.20 0.00 24.66 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.52 0.50 25.16 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.SEL (net) + 0.52 0.01 25.17 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.25 0.33 25.50 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.SEL_B (net) + 0.25 0.00 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.BIT[4].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 2.76 2.18 27.69 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.BIT[4].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.24 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_pre[12] (net) + 2.78 0.08 27.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[12]/D (sky130_fd_sc_hd__dfxtp_1) + 27.78 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 2.14 1.55 30.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.74 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 2.15 0.08 30.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[12]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 30.19 clock uncertainty + 0.69 30.88 clock reconvergence pessimism + -0.16 30.72 library setup time + 30.72 data required time +----------------------------------------------------------------------------- + 30.72 data required time + -27.78 data arrival time +----------------------------------------------------------------------------- + 2.94 slack (MET) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[22] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.55 0.47 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.55 0.01 23.79 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.28 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net) + 0.12 0.00 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4) + 0.06 0.22 24.29 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4) + 1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN (net) + 0.06 0.00 24.29 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.18 24.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN_buf (net) + 0.13 0.00 24.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND1/D (sky130_fd_sc_hd__and4bb_2) + 0.05 0.24 24.71 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND1/X (sky130_fd_sc_hd__and4bb_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.SEL (net) + 0.05 0.00 24.71 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.41 0.37 25.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[0].B.SEL (net) + 0.41 0.01 25.09 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.22 0.30 25.39 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[2].B.SEL_B (net) + 0.22 0.00 25.39 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[2].B.BIT[6].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 2.84 2.23 27.62 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[2].B.BIT[6].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.24 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[22] (net) + 2.86 0.07 27.69 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[22]/D (sky130_fd_sc_hd__dfxtp_1) + 27.69 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 2.14 1.55 30.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.74 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 2.14 0.03 30.39 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[22]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 30.14 clock uncertainty + 0.69 30.83 clock reconvergence pessimism + -0.17 30.67 library setup time + 30.67 data required time +----------------------------------------------------------------------------- + 30.67 data required time + -27.69 data arrival time +----------------------------------------------------------------------------- + 2.98 slack (MET) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[13] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.55 0.47 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.55 0.02 23.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.28 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.A_buf[3] (net) + 0.12 0.00 24.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4) + 0.04 0.20 24.28 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.EN (net) + 0.04 0.00 24.28 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.11 0.16 24.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.EN_buf (net) + 0.11 0.00 24.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.AND0/D_N (sky130_fd_sc_hd__nor4b_2) + 0.20 0.22 24.66 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.AND0/Y (sky130_fd_sc_hd__nor4b_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SEL (net) + 0.20 0.00 24.66 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.52 0.50 25.16 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.SEL (net) + 0.52 0.01 25.17 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.25 0.33 25.50 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.SEL_B (net) + 0.25 0.00 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.BIT[5].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 2.69 2.12 27.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.BIT[5].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.23 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_pre[13] (net) + 2.71 0.10 27.73 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[13]/D (sky130_fd_sc_hd__dfxtp_1) + 27.73 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 2.14 1.55 30.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.74 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 2.15 0.08 30.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[13]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 30.19 clock uncertainty + 0.69 30.88 clock reconvergence pessimism + -0.16 30.72 library setup time + 30.72 data required time +----------------------------------------------------------------------------- + 30.72 data required time + -27.73 data arrival time +----------------------------------------------------------------------------- + 2.99 slack (MET) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[9] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.55 0.47 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.55 0.02 23.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.28 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.A_buf[3] (net) + 0.12 0.00 24.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4) + 0.04 0.20 24.28 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.EN (net) + 0.04 0.00 24.28 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.11 0.16 24.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.EN_buf (net) + 0.11 0.00 24.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.AND0/D_N (sky130_fd_sc_hd__nor4b_2) + 0.20 0.22 24.66 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.AND0/Y (sky130_fd_sc_hd__nor4b_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SEL (net) + 0.20 0.00 24.66 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.52 0.50 25.16 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.SEL (net) + 0.52 0.01 25.17 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.25 0.33 25.50 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.SEL_B (net) + 0.25 0.00 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.BIT[1].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 2.67 2.09 27.59 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.BIT[1].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.23 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_pre[9] (net) + 2.68 0.10 27.69 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[9]/D (sky130_fd_sc_hd__dfxtp_1) + 27.69 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 2.14 1.55 30.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.74 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 2.15 0.08 30.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[9]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 30.19 clock uncertainty + 0.69 30.88 clock reconvergence pessimism + -0.15 30.73 library setup time + 30.73 data required time +----------------------------------------------------------------------------- + 30.73 data required time + -27.69 data arrival time +----------------------------------------------------------------------------- + 3.04 slack (MET) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[13] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.55 0.47 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.55 0.01 23.79 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.28 24.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.A_buf[3] (net) + 0.12 0.00 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4) + 0.06 0.22 24.29 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4) + 1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN (net) + 0.06 0.00 24.29 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.18 24.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN_buf (net) + 0.12 0.00 24.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/D (sky130_fd_sc_hd__and4bb_2) + 0.05 0.24 24.71 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/X (sky130_fd_sc_hd__and4bb_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SEL (net) + 0.05 0.00 24.71 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.42 0.38 25.09 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[0].B.SEL (net) + 0.42 0.01 25.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.23 0.31 25.41 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SEL_B (net) + 0.23 0.00 25.41 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[5].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 2.66 2.11 27.52 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[5].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.23 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_pre[13] (net) + 2.69 0.09 27.60 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[13]/D (sky130_fd_sc_hd__dfxtp_1) + 27.60 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 2.14 1.55 30.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.74 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 2.14 0.03 30.39 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[13]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 30.14 clock uncertainty + 0.69 30.83 clock reconvergence pessimism + -0.16 30.68 library setup time + 30.68 data required time +----------------------------------------------------------------------------- + 30.68 data required time + -27.60 data arrival time +----------------------------------------------------------------------------- + 3.07 slack (MET) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[11] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.55 0.47 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.55 0.02 23.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.28 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.A_buf[3] (net) + 0.12 0.00 24.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4) + 0.04 0.20 24.28 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.EN (net) + 0.04 0.00 24.28 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.11 0.16 24.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.EN_buf (net) + 0.11 0.00 24.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.AND0/D_N (sky130_fd_sc_hd__nor4b_2) + 0.20 0.22 24.66 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.AND0/Y (sky130_fd_sc_hd__nor4b_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SEL (net) + 0.20 0.00 24.66 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.52 0.50 25.16 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.SEL (net) + 0.52 0.01 25.17 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.25 0.33 25.50 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.SEL_B (net) + 0.25 0.00 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.BIT[3].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 2.59 2.05 27.56 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.BIT[3].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_pre[11] (net) + 2.61 0.09 27.64 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[11]/D (sky130_fd_sc_hd__dfxtp_1) + 27.64 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 2.14 1.55 30.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.74 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 2.15 0.08 30.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[11]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 30.19 clock uncertainty + 0.69 30.88 clock reconvergence pessimism + -0.15 30.73 library setup time + 30.73 data required time +----------------------------------------------------------------------------- + 30.73 data required time + -27.64 data arrival time +----------------------------------------------------------------------------- + 3.09 slack (MET) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[10] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.55 0.47 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.55 0.02 23.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.28 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.A_buf[3] (net) + 0.12 0.00 24.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4) + 0.04 0.20 24.28 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.EN (net) + 0.04 0.00 24.28 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.11 0.16 24.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.EN_buf (net) + 0.11 0.00 24.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.AND0/D_N (sky130_fd_sc_hd__nor4b_2) + 0.20 0.22 24.66 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.AND0/Y (sky130_fd_sc_hd__nor4b_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SEL (net) + 0.20 0.00 24.66 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.52 0.50 25.16 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.SEL (net) + 0.52 0.01 25.17 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.25 0.33 25.50 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.SEL_B (net) + 0.25 0.00 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.BIT[2].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 2.58 2.05 27.55 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.BIT[2].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_pre[10] (net) + 2.59 0.08 27.63 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[10]/D (sky130_fd_sc_hd__dfxtp_1) + 27.63 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 2.14 1.55 30.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.74 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 2.15 0.08 30.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[10]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 30.19 clock uncertainty + 0.69 30.88 clock reconvergence pessimism + -0.15 30.73 library setup time + 30.73 data required time +----------------------------------------------------------------------------- + 30.73 data required time + -27.63 data arrival time +----------------------------------------------------------------------------- + 3.10 slack (MET) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[8] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.55 0.47 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.55 0.01 23.79 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.28 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net) + 0.12 0.00 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4) + 0.04 0.20 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN (net) + 0.04 0.00 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.17 24.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN_buf (net) + 0.13 0.00 24.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/D (sky130_fd_sc_hd__and4b_2) + 0.05 0.24 24.69 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/X (sky130_fd_sc_hd__and4b_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SEL (net) + 0.05 0.00 24.69 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.44 0.39 25.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[0].B.SEL (net) + 0.44 0.00 25.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.25 0.32 25.41 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SEL_B (net) + 0.25 0.00 25.41 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[0].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 2.66 2.10 27.51 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[0].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.23 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[8] (net) + 2.66 0.08 27.59 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[8]/D (sky130_fd_sc_hd__dfxtp_1) + 27.59 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 2.14 1.55 30.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.74 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 2.15 0.04 30.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[8]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 30.15 clock uncertainty + 0.69 30.84 clock reconvergence pessimism + -0.15 30.69 library setup time + 30.69 data required time +----------------------------------------------------------------------------- + 30.69 data required time + -27.59 data arrival time +----------------------------------------------------------------------------- + 3.10 slack (MET) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[14] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.55 0.47 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.55 0.02 23.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.28 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.A_buf[3] (net) + 0.12 0.00 24.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4) + 0.04 0.20 24.28 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.EN (net) + 0.04 0.00 24.28 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.11 0.16 24.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.EN_buf (net) + 0.11 0.00 24.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.AND0/D_N (sky130_fd_sc_hd__nor4b_2) + 0.20 0.22 24.66 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.AND0/Y (sky130_fd_sc_hd__nor4b_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SEL (net) + 0.20 0.00 24.66 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.52 0.50 25.16 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.SEL (net) + 0.52 0.01 25.17 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.25 0.33 25.50 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.SEL_B (net) + 0.25 0.00 25.51 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.BIT[6].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 2.56 2.03 27.54 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.BIT[6].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_pre[14] (net) + 2.58 0.08 27.62 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[14]/D (sky130_fd_sc_hd__dfxtp_1) + 27.62 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 2.14 1.55 30.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.74 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 2.15 0.08 30.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[14]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 30.19 clock uncertainty + 0.69 30.88 clock reconvergence pessimism + -0.15 30.73 library setup time + 30.73 data required time +----------------------------------------------------------------------------- + 30.73 data required time + -27.62 data arrival time +----------------------------------------------------------------------------- + 3.12 slack (MET) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[11] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.55 0.47 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.55 0.01 23.79 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.28 24.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.A_buf[3] (net) + 0.12 0.00 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4) + 0.06 0.22 24.29 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4) + 1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN (net) + 0.06 0.00 24.29 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.18 24.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN_buf (net) + 0.12 0.00 24.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/D (sky130_fd_sc_hd__and4bb_2) + 0.05 0.24 24.71 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/X (sky130_fd_sc_hd__and4bb_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SEL (net) + 0.05 0.00 24.71 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.42 0.38 25.09 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[0].B.SEL (net) + 0.42 0.01 25.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.23 0.31 25.41 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SEL_B (net) + 0.23 0.00 25.41 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[3].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 2.61 2.07 27.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[3].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_pre[11] (net) + 2.62 0.08 27.55 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[11]/D (sky130_fd_sc_hd__dfxtp_1) + 27.55 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 2.14 1.55 30.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.74 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 2.15 0.04 30.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[11]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 30.15 clock uncertainty + 0.69 30.84 clock reconvergence pessimism + -0.15 30.69 library setup time + 30.69 data required time +----------------------------------------------------------------------------- + 30.69 data required time + -27.55 data arrival time +----------------------------------------------------------------------------- + 3.14 slack (MET) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[12] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.55 0.47 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.55 0.01 23.79 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.28 24.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.A_buf[3] (net) + 0.12 0.00 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4) + 0.06 0.22 24.29 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4) + 1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN (net) + 0.06 0.00 24.29 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.18 24.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN_buf (net) + 0.12 0.00 24.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND0/D_N (sky130_fd_sc_hd__nor4b_2) + 0.18 0.21 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND0/Y (sky130_fd_sc_hd__nor4b_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[0].W.SEL (net) + 0.18 0.00 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[0].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.41 0.42 25.09 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[0].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[0].W.BYTE[0].B.SEL (net) + 0.41 0.00 25.09 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[0].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.24 0.31 25.41 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[0].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[0].W.BYTE[1].B.SEL_B (net) + 0.24 0.00 25.41 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[0].W.BYTE[1].B.BIT[4].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 2.60 2.05 27.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[0].W.BYTE[1].B.BIT[4].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_pre[12] (net) + 2.62 0.08 27.54 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[12]/D (sky130_fd_sc_hd__dfxtp_1) + 27.54 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 2.14 1.55 30.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.74 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 2.15 0.04 30.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[12]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 30.15 clock uncertainty + 0.69 30.84 clock reconvergence pessimism + -0.15 30.69 library setup time + 30.69 data required time +----------------------------------------------------------------------------- + 30.69 data required time + -27.54 data arrival time +----------------------------------------------------------------------------- + 3.15 slack (MET) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[12] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.55 0.47 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.55 0.01 23.79 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.28 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net) + 0.12 0.00 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4) + 0.04 0.20 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN (net) + 0.04 0.00 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.17 24.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN_buf (net) + 0.13 0.00 24.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/D (sky130_fd_sc_hd__and4b_2) + 0.05 0.24 24.69 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/X (sky130_fd_sc_hd__and4b_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SEL (net) + 0.05 0.00 24.69 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.44 0.39 25.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[0].B.SEL (net) + 0.44 0.00 25.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.25 0.32 25.41 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SEL_B (net) + 0.25 0.00 25.41 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[4].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 2.60 2.06 27.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[4].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[12] (net) + 2.60 0.06 27.53 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[12]/D (sky130_fd_sc_hd__dfxtp_1) + 27.53 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 2.14 1.55 30.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.74 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 2.15 0.05 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[12]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 30.16 clock uncertainty + 0.69 30.85 clock reconvergence pessimism + -0.15 30.70 library setup time + 30.70 data required time +----------------------------------------------------------------------------- + 30.70 data required time + -27.53 data arrival time +----------------------------------------------------------------------------- + 3.17 slack (MET) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[14] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.55 0.47 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.55 0.01 23.79 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.28 24.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.A_buf[3] (net) + 0.12 0.00 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4) + 0.06 0.22 24.29 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4) + 1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN (net) + 0.06 0.00 24.29 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.18 24.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN_buf (net) + 0.12 0.00 24.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/D (sky130_fd_sc_hd__and4bb_2) + 0.05 0.24 24.71 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/X (sky130_fd_sc_hd__and4bb_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SEL (net) + 0.05 0.00 24.71 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.42 0.38 25.09 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[0].B.SEL (net) + 0.42 0.01 25.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.23 0.31 25.41 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SEL_B (net) + 0.23 0.00 25.41 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[6].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 2.55 2.03 27.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[6].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_pre[14] (net) + 2.57 0.08 27.51 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[14]/D (sky130_fd_sc_hd__dfxtp_1) + 27.51 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 2.14 1.55 30.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.74 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 2.15 0.04 30.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[14]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 30.15 clock uncertainty + 0.69 30.84 clock reconvergence pessimism + -0.15 30.69 library setup time + 30.69 data required time +----------------------------------------------------------------------------- + 30.69 data required time + -27.51 data arrival time +----------------------------------------------------------------------------- + 3.17 slack (MET) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[11] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.55 0.47 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.55 0.00 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.11 0.28 24.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net) + 0.11 0.00 24.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4) + 0.05 0.21 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net) + 0.05 0.00 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.11 0.16 24.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net) + 0.11 0.00 24.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND7/D (sky130_fd_sc_hd__and4_2) + 0.06 0.24 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND7/X (sky130_fd_sc_hd__and4_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SEL (net) + 0.06 0.00 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.47 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.SEL (net) + 0.54 0.01 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.24 0.33 25.48 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.SEL_B (net) + 0.24 0.00 25.48 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.BIT[3].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 2.55 2.04 27.52 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.BIT[3].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[11] (net) + 2.55 0.03 27.55 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[11]/D (sky130_fd_sc_hd__dfxtp_1) + 27.55 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 2.14 1.55 30.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.74 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 2.15 0.09 30.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[11]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 30.20 clock uncertainty + 0.69 30.89 clock reconvergence pessimism + -0.15 30.74 library setup time + 30.74 data required time +----------------------------------------------------------------------------- + 30.74 data required time + -27.55 data arrival time +----------------------------------------------------------------------------- + 3.19 slack (MET) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[2] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.55 0.47 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.55 0.01 23.79 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.28 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net) + 0.12 0.00 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4) + 0.04 0.20 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN (net) + 0.04 0.00 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.17 24.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN_buf (net) + 0.13 0.00 24.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND5/D (sky130_fd_sc_hd__and4b_2) + 0.06 0.25 24.69 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND5/X (sky130_fd_sc_hd__and4b_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.SEL (net) + 0.06 0.00 24.69 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.37 0.35 25.05 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.07 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.SEL (net) + 0.37 0.00 25.05 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.29 0.36 25.41 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 16 0.06 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.SEL_B (net) + 0.29 0.00 25.41 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.BIT[2].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 2.59 2.10 27.51 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.BIT[2].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[2] (net) + 2.60 0.01 27.51 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[2]/D (sky130_fd_sc_hd__dfxtp_1) + 27.51 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 2.14 1.55 30.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.74 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 2.15 0.06 30.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[2]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 30.17 clock uncertainty + 0.69 30.86 clock reconvergence pessimism + -0.15 30.71 library setup time + 30.71 data required time +----------------------------------------------------------------------------- + 30.71 data required time + -27.51 data arrival time +----------------------------------------------------------------------------- + 3.20 slack (MET) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[5] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.55 0.47 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.55 0.02 23.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.28 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.A_buf[3] (net) + 0.12 0.00 24.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4) + 0.04 0.20 24.28 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.EN (net) + 0.04 0.00 24.28 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.11 0.16 24.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.EN_buf (net) + 0.11 0.00 24.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.AND0/D_N (sky130_fd_sc_hd__nor4b_2) + 0.20 0.22 24.66 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.DEC.AND0/Y (sky130_fd_sc_hd__nor4b_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SEL (net) + 0.20 0.00 24.66 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.52 0.50 25.16 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.SEL (net) + 0.52 0.01 25.17 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.23 0.32 25.49 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.SEL_B (net) + 0.23 0.00 25.50 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.BIT[5].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 2.50 1.99 27.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.BIT[5].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_pre[5] (net) + 2.51 0.07 27.55 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[5]/D (sky130_fd_sc_hd__dfxtp_1) + 27.55 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 2.14 1.55 30.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.74 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 2.15 0.09 30.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[5]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 30.20 clock uncertainty + 0.69 30.89 clock reconvergence pessimism + -0.15 30.75 library setup time + 30.75 data required time +----------------------------------------------------------------------------- + 30.75 data required time + -27.55 data arrival time +----------------------------------------------------------------------------- + 3.20 slack (MET) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[18] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.55 0.47 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.55 0.01 23.79 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.28 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net) + 0.12 0.00 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4) + 0.06 0.22 24.29 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4) + 1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN (net) + 0.06 0.00 24.29 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.18 24.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN_buf (net) + 0.13 0.00 24.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND1/D (sky130_fd_sc_hd__and4bb_2) + 0.05 0.24 24.71 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND1/X (sky130_fd_sc_hd__and4bb_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.SEL (net) + 0.05 0.00 24.71 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.41 0.37 25.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[0].B.SEL (net) + 0.41 0.01 25.09 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.22 0.30 25.39 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[2].B.SEL_B (net) + 0.22 0.00 25.39 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[2].B.BIT[2].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 2.55 2.03 27.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[2].B.BIT[2].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[18] (net) + 2.56 0.05 27.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[18]/D (sky130_fd_sc_hd__dfxtp_1) + 27.47 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 2.14 1.55 30.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.74 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 2.14 0.02 30.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[18]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 30.13 clock uncertainty + 0.69 30.82 clock reconvergence pessimism + -0.15 30.67 library setup time + 30.67 data required time +----------------------------------------------------------------------------- + 30.67 data required time + -27.47 data arrival time +----------------------------------------------------------------------------- + 3.21 slack (MET) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[20] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.55 0.47 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.55 0.01 23.79 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.28 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net) + 0.12 0.00 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4) + 0.06 0.22 24.29 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4) + 1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN (net) + 0.06 0.00 24.29 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.18 24.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN_buf (net) + 0.13 0.00 24.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND1/D (sky130_fd_sc_hd__and4bb_2) + 0.05 0.24 24.71 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND1/X (sky130_fd_sc_hd__and4bb_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.SEL (net) + 0.05 0.00 24.71 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.41 0.37 25.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[0].B.SEL (net) + 0.41 0.01 25.09 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.22 0.30 25.39 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[2].B.SEL_B (net) + 0.22 0.00 25.39 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[2].B.BIT[4].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 2.56 2.04 27.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[2].B.BIT[4].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[20] (net) + 2.57 0.05 27.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[20]/D (sky130_fd_sc_hd__dfxtp_1) + 27.47 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 2.14 1.55 30.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.74 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 2.14 0.03 30.39 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[20]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 30.14 clock uncertainty + 0.69 30.83 clock reconvergence pessimism + -0.15 30.68 library setup time + 30.68 data required time +----------------------------------------------------------------------------- + 30.68 data required time + -27.47 data arrival time +----------------------------------------------------------------------------- + 3.21 slack (MET) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[14] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.55 0.47 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.55 0.01 23.79 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.28 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net) + 0.12 0.00 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4) + 0.06 0.22 24.29 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4) + 1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN (net) + 0.06 0.00 24.29 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.18 24.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN_buf (net) + 0.13 0.00 24.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND1/D (sky130_fd_sc_hd__and4bb_2) + 0.05 0.24 24.71 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND1/X (sky130_fd_sc_hd__and4bb_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.SEL (net) + 0.05 0.00 24.71 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.41 0.37 25.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[0].B.SEL (net) + 0.41 0.01 25.09 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.23 0.30 25.39 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[1].B.SEL_B (net) + 0.23 0.00 25.39 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[1].B.BIT[6].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 2.57 2.04 27.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[1].B.BIT[6].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[14] (net) + 2.58 0.06 27.49 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[14]/D (sky130_fd_sc_hd__dfxtp_1) + 27.49 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 2.14 1.55 30.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.74 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 2.15 0.05 30.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[14]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 30.15 clock uncertainty + 0.69 30.84 clock reconvergence pessimism + -0.15 30.70 library setup time + 30.70 data required time +----------------------------------------------------------------------------- + 30.70 data required time + -27.49 data arrival time +----------------------------------------------------------------------------- + 3.21 slack (MET) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[9] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.55 0.47 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.55 0.00 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.11 0.28 24.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net) + 0.11 0.00 24.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4) + 0.05 0.21 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net) + 0.05 0.00 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.11 0.16 24.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net) + 0.11 0.00 24.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND7/D (sky130_fd_sc_hd__and4_2) + 0.06 0.24 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND7/X (sky130_fd_sc_hd__and4_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SEL (net) + 0.06 0.00 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.47 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.SEL (net) + 0.54 0.01 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.24 0.33 25.48 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.SEL_B (net) + 0.24 0.00 25.48 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.BIT[1].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 2.51 2.00 27.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.BIT[1].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[9] (net) + 2.51 0.05 27.53 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[9]/D (sky130_fd_sc_hd__dfxtp_1) + 27.53 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 2.14 1.55 30.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.74 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 2.15 0.10 30.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[9]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 30.21 clock uncertainty + 0.69 30.90 clock reconvergence pessimism + -0.15 30.75 library setup time + 30.75 data required time +----------------------------------------------------------------------------- + 30.75 data required time + -27.53 data arrival time +----------------------------------------------------------------------------- + 3.22 slack (MET) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[16] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.55 0.47 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.55 0.01 23.79 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.28 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net) + 0.12 0.00 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4) + 0.06 0.22 24.29 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4) + 1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN (net) + 0.06 0.00 24.29 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.18 24.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN_buf (net) + 0.13 0.00 24.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND1/D (sky130_fd_sc_hd__and4bb_2) + 0.05 0.24 24.71 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND1/X (sky130_fd_sc_hd__and4bb_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.SEL (net) + 0.05 0.00 24.71 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.41 0.37 25.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[0].B.SEL (net) + 0.41 0.01 25.09 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.22 0.30 25.39 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[2].B.SEL_B (net) + 0.22 0.00 25.39 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[2].B.BIT[0].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 2.53 2.02 27.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[2].B.BIT[0].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.22 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[16] (net) + 2.54 0.05 27.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[16]/D (sky130_fd_sc_hd__dfxtp_1) + 27.45 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 2.14 1.55 30.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.74 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 2.14 0.03 30.39 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[16]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 30.14 clock uncertainty + 0.69 30.83 clock reconvergence pessimism + -0.15 30.68 library setup time + 30.68 data required time +----------------------------------------------------------------------------- + 30.68 data required time + -27.45 data arrival time +----------------------------------------------------------------------------- + 3.23 slack (MET) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[3] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.55 0.47 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.55 0.00 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.11 0.28 24.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net) + 0.11 0.00 24.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4) + 0.05 0.21 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net) + 0.05 0.00 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.11 0.16 24.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net) + 0.11 0.00 24.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND7/D (sky130_fd_sc_hd__and4_2) + 0.06 0.24 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND7/X (sky130_fd_sc_hd__and4_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SEL (net) + 0.06 0.00 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.47 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.SEL (net) + 0.54 0.00 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.24 0.33 25.47 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.SEL_B (net) + 0.24 0.00 25.47 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.BIT[3].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 2.52 2.01 27.49 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.BIT[3].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[3] (net) + 2.52 0.04 27.53 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[3]/D (sky130_fd_sc_hd__dfxtp_1) + 27.53 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 2.14 1.55 30.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.74 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 2.15 0.11 30.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[3]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 30.22 clock uncertainty + 0.69 30.91 clock reconvergence pessimism + -0.15 30.76 library setup time + 30.76 data required time +----------------------------------------------------------------------------- + 30.76 data required time + -27.53 data arrival time +----------------------------------------------------------------------------- + 3.23 slack (MET) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[31] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.55 0.47 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.55 0.02 23.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.28 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.A_buf[3] (net) + 0.12 0.00 24.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4) + 0.05 0.21 24.28 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4) + 1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.DEC.EN (net) + 0.05 0.00 24.28 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.17 24.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.DEC.EN_buf (net) + 0.12 0.00 24.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.DEC.AND5/D (sky130_fd_sc_hd__and4b_2) + 0.07 0.26 24.71 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.DEC.AND5/X (sky130_fd_sc_hd__and4b_2) + 1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.SEL (net) + 0.07 0.00 24.71 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.49 0.44 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.09 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.SEL (net) + 0.49 0.00 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[3].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.26 0.35 25.50 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[3].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[3].B.SEL_B (net) + 0.26 0.00 25.50 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[3].B.BIT[7].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 2.47 1.98 27.49 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[3].B.BIT[7].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_pre[31] (net) + 2.47 0.02 27.50 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[31]/D (sky130_fd_sc_hd__dfxtp_1) + 27.50 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 2.14 1.55 30.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.74 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 2.15 0.08 30.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[31]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 30.19 clock uncertainty + 0.69 30.88 clock reconvergence pessimism + -0.14 30.74 library setup time + 30.74 data required time +----------------------------------------------------------------------------- + 30.74 data required time + -27.50 data arrival time +----------------------------------------------------------------------------- + 3.24 slack (MET) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[9] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.55 0.47 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.55 0.01 23.79 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.28 24.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.A_buf[3] (net) + 0.12 0.00 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4) + 0.06 0.22 24.29 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4) + 1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN (net) + 0.06 0.00 24.29 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.18 24.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN_buf (net) + 0.12 0.00 24.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/D (sky130_fd_sc_hd__and4bb_2) + 0.05 0.24 24.71 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/X (sky130_fd_sc_hd__and4bb_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SEL (net) + 0.05 0.00 24.71 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.42 0.38 25.09 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[0].B.SEL (net) + 0.42 0.01 25.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.23 0.31 25.41 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SEL_B (net) + 0.23 0.00 25.41 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[1].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 2.48 1.98 27.39 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[1].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_pre[9] (net) + 2.49 0.05 27.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[9]/D (sky130_fd_sc_hd__dfxtp_1) + 27.44 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 2.14 1.55 30.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.74 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 2.14 0.03 30.39 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[9]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 30.14 clock uncertainty + 0.69 30.82 clock reconvergence pessimism + -0.14 30.68 library setup time + 30.68 data required time +----------------------------------------------------------------------------- + 30.68 data required time + -27.44 data arrival time +----------------------------------------------------------------------------- + 3.24 slack (MET) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[23] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.55 0.47 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.55 0.01 23.79 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.28 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net) + 0.12 0.00 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4) + 0.04 0.20 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN (net) + 0.04 0.00 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.17 24.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN_buf (net) + 0.13 0.00 24.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND6/D (sky130_fd_sc_hd__and4b_2) + 0.06 0.25 24.70 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND6/X (sky130_fd_sc_hd__and4b_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.SEL (net) + 0.06 0.00 24.70 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.42 0.39 25.09 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[0].B.SEL (net) + 0.42 0.00 25.09 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.23 0.31 25.40 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[2].B.SEL_B (net) + 0.23 0.00 25.40 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[2].B.BIT[7].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 2.52 2.02 27.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[2].B.BIT[7].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[23] (net) + 2.53 0.03 27.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[23]/D (sky130_fd_sc_hd__dfxtp_1) + 27.45 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 2.14 1.55 30.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.74 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 2.14 0.03 30.39 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[23]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 30.14 clock uncertainty + 0.69 30.83 clock reconvergence pessimism + -0.15 30.69 library setup time + 30.69 data required time +----------------------------------------------------------------------------- + 30.69 data required time + -27.45 data arrival time +----------------------------------------------------------------------------- + 3.24 slack (MET) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[13] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.55 0.47 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.55 0.01 23.79 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.28 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net) + 0.12 0.00 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4) + 0.04 0.20 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN (net) + 0.04 0.00 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.17 24.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN_buf (net) + 0.13 0.00 24.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/D (sky130_fd_sc_hd__and4b_2) + 0.05 0.24 24.69 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/X (sky130_fd_sc_hd__and4b_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SEL (net) + 0.05 0.00 24.69 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.44 0.39 25.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[0].B.SEL (net) + 0.44 0.00 25.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.25 0.32 25.41 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SEL_B (net) + 0.25 0.00 25.41 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[5].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 2.51 2.00 27.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[5].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[13] (net) + 2.51 0.06 27.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[13]/D (sky130_fd_sc_hd__dfxtp_1) + 27.46 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 2.14 1.55 30.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.74 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 2.15 0.05 30.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[13]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 30.15 clock uncertainty + 0.69 30.84 clock reconvergence pessimism + -0.15 30.70 library setup time + 30.70 data required time +----------------------------------------------------------------------------- + 30.70 data required time + -27.46 data arrival time +----------------------------------------------------------------------------- + 3.24 slack (MET) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[11] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.55 0.47 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.55 0.01 23.79 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.28 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net) + 0.12 0.00 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4) + 0.04 0.20 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN (net) + 0.04 0.00 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.17 24.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN_buf (net) + 0.13 0.00 24.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/D (sky130_fd_sc_hd__and4b_2) + 0.05 0.24 24.69 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/X (sky130_fd_sc_hd__and4b_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SEL (net) + 0.05 0.00 24.69 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.44 0.39 25.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[0].B.SEL (net) + 0.44 0.00 25.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.25 0.32 25.41 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SEL_B (net) + 0.25 0.00 25.41 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[3].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 2.52 2.01 27.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[3].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[11] (net) + 2.52 0.04 27.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[11]/D (sky130_fd_sc_hd__dfxtp_1) + 27.45 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 2.14 1.55 30.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.74 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 2.15 0.05 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[11]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 30.16 clock uncertainty + 0.69 30.84 clock reconvergence pessimism + -0.15 30.70 library setup time + 30.70 data required time +----------------------------------------------------------------------------- + 30.70 data required time + -27.45 data arrival time +----------------------------------------------------------------------------- + 3.25 slack (MET) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[15] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.55 0.47 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.55 0.01 23.79 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.28 24.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.A_buf[3] (net) + 0.12 0.00 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4) + 0.06 0.22 24.29 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4) + 1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN (net) + 0.06 0.00 24.29 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.18 24.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN_buf (net) + 0.12 0.00 24.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND0/D_N (sky130_fd_sc_hd__nor4b_2) + 0.18 0.21 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND0/Y (sky130_fd_sc_hd__nor4b_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[0].W.SEL (net) + 0.18 0.00 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[0].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.41 0.42 25.09 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[0].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[0].W.BYTE[0].B.SEL (net) + 0.41 0.00 25.09 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[0].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.24 0.31 25.41 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[0].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[0].W.BYTE[1].B.SEL_B (net) + 0.24 0.00 25.41 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[0].W.BYTE[1].B.BIT[7].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 2.47 1.96 27.37 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[0].W.BYTE[1].B.BIT[7].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_pre[15] (net) + 2.49 0.07 27.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[15]/D (sky130_fd_sc_hd__dfxtp_1) + 27.44 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 2.14 1.55 30.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.74 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 2.14 0.03 30.39 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[15]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 30.14 clock uncertainty + 0.69 30.83 clock reconvergence pessimism + -0.14 30.69 library setup time + 30.69 data required time +----------------------------------------------------------------------------- + 30.69 data required time + -27.44 data arrival time +----------------------------------------------------------------------------- + 3.25 slack (MET) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[15] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.55 0.47 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.55 0.01 23.79 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.28 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net) + 0.12 0.00 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4) + 0.04 0.20 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN (net) + 0.04 0.00 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.17 24.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN_buf (net) + 0.13 0.00 24.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/D (sky130_fd_sc_hd__and4b_2) + 0.05 0.24 24.69 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/X (sky130_fd_sc_hd__and4b_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SEL (net) + 0.05 0.00 24.69 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.44 0.39 25.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[0].B.SEL (net) + 0.44 0.00 25.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.25 0.32 25.41 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SEL_B (net) + 0.25 0.00 25.41 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[7].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 2.47 1.96 27.37 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[7].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[15] (net) + 2.48 0.08 27.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[15]/D (sky130_fd_sc_hd__dfxtp_1) + 27.45 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 2.14 1.55 30.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.74 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 2.15 0.05 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[15]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 30.16 clock uncertainty + 0.69 30.84 clock reconvergence pessimism + -0.14 30.70 library setup time + 30.70 data required time +----------------------------------------------------------------------------- + 30.70 data required time + -27.45 data arrival time +----------------------------------------------------------------------------- + 3.25 slack (MET) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[5] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.55 0.47 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.55 0.01 23.79 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.28 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net) + 0.12 0.00 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4) + 0.04 0.20 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN (net) + 0.04 0.00 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.17 24.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN_buf (net) + 0.13 0.00 24.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND5/D (sky130_fd_sc_hd__and4b_2) + 0.06 0.25 24.69 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND5/X (sky130_fd_sc_hd__and4b_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.SEL (net) + 0.06 0.00 24.69 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.37 0.35 25.05 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.07 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.SEL (net) + 0.37 0.00 25.05 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.29 0.36 25.41 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 16 0.06 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.SEL_B (net) + 0.29 0.00 25.41 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.BIT[5].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 2.53 2.05 27.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.BIT[5].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[5] (net) + 2.53 0.01 27.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[5]/D (sky130_fd_sc_hd__dfxtp_1) + 27.47 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 2.14 1.55 30.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.74 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 2.15 0.06 30.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[5]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 30.17 clock uncertainty + 0.69 30.86 clock reconvergence pessimism + -0.15 30.72 library setup time + 30.72 data required time +----------------------------------------------------------------------------- + 30.72 data required time + -27.47 data arrival time +----------------------------------------------------------------------------- + 3.25 slack (MET) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[8] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.55 0.47 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.55 0.01 23.79 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.28 24.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.A_buf[3] (net) + 0.12 0.00 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4) + 0.06 0.22 24.29 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4) + 1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN (net) + 0.06 0.00 24.29 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.18 24.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN_buf (net) + 0.12 0.00 24.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/D (sky130_fd_sc_hd__and4bb_2) + 0.05 0.24 24.71 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND1/X (sky130_fd_sc_hd__and4bb_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SEL (net) + 0.05 0.00 24.71 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.42 0.38 25.09 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[0].B.SEL (net) + 0.42 0.01 25.10 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.23 0.31 25.41 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.SEL_B (net) + 0.23 0.00 25.41 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[0].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 2.49 1.99 27.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[0].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_pre[8] (net) + 2.51 0.06 27.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[8]/D (sky130_fd_sc_hd__dfxtp_1) + 27.46 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 2.14 1.55 30.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.74 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 2.15 0.06 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[8]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 30.16 clock uncertainty + 0.69 30.85 clock reconvergence pessimism + -0.14 30.71 library setup time + 30.71 data required time +----------------------------------------------------------------------------- + 30.71 data required time + -27.46 data arrival time +----------------------------------------------------------------------------- + 3.25 slack (MET) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[8] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.55 0.47 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.55 0.00 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.11 0.28 24.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net) + 0.11 0.00 24.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4) + 0.05 0.21 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net) + 0.05 0.00 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.11 0.16 24.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net) + 0.11 0.00 24.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND7/D (sky130_fd_sc_hd__and4_2) + 0.06 0.24 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND7/X (sky130_fd_sc_hd__and4_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SEL (net) + 0.06 0.00 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.47 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.SEL (net) + 0.54 0.01 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.24 0.33 25.48 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.SEL_B (net) + 0.24 0.00 25.48 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.BIT[0].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 2.47 1.99 27.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.BIT[0].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[8] (net) + 2.48 0.03 27.50 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[8]/D (sky130_fd_sc_hd__dfxtp_1) + 27.50 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 2.14 1.55 30.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.74 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 2.15 0.10 30.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[8]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 30.21 clock uncertainty + 0.69 30.90 clock reconvergence pessimism + -0.14 30.76 library setup time + 30.76 data required time +----------------------------------------------------------------------------- + 30.76 data required time + -27.50 data arrival time +----------------------------------------------------------------------------- + 3.26 slack (MET) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[19] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.55 0.47 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.55 0.01 23.79 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.28 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net) + 0.12 0.00 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4) + 0.06 0.22 24.29 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4) + 1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN (net) + 0.06 0.00 24.29 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.18 24.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN_buf (net) + 0.13 0.00 24.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND1/D (sky130_fd_sc_hd__and4bb_2) + 0.05 0.24 24.71 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND1/X (sky130_fd_sc_hd__and4bb_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.SEL (net) + 0.05 0.00 24.71 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.41 0.37 25.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[0].B.SEL (net) + 0.41 0.01 25.09 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.22 0.30 25.39 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[2].B.SEL_B (net) + 0.22 0.00 25.39 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[2].B.BIT[3].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 2.50 1.99 27.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[2].B.BIT[3].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[19] (net) + 2.51 0.05 27.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[19]/D (sky130_fd_sc_hd__dfxtp_1) + 27.43 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 2.14 1.55 30.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.74 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 2.14 0.03 30.39 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[19]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 30.14 clock uncertainty + 0.69 30.83 clock reconvergence pessimism + -0.15 30.69 library setup time + 30.69 data required time +----------------------------------------------------------------------------- + 30.69 data required time + -27.43 data arrival time +----------------------------------------------------------------------------- + 3.26 slack (MET) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[10] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.55 0.47 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.55 0.01 23.79 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.28 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net) + 0.12 0.00 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4) + 0.04 0.20 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN (net) + 0.04 0.00 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.17 24.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN_buf (net) + 0.13 0.00 24.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/D (sky130_fd_sc_hd__and4b_2) + 0.05 0.24 24.69 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND3/X (sky130_fd_sc_hd__and4b_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SEL (net) + 0.05 0.00 24.69 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.44 0.39 25.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[0].B.SEL (net) + 0.44 0.00 25.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.25 0.32 25.41 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.SEL_B (net) + 0.25 0.00 25.41 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[2].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 2.47 1.97 27.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[2].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[10] (net) + 2.47 0.05 27.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[10]/D (sky130_fd_sc_hd__dfxtp_1) + 27.43 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 2.14 1.55 30.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.74 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 2.15 0.05 30.41 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[10]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 30.16 clock uncertainty + 0.69 30.84 clock reconvergence pessimism + -0.14 30.70 library setup time + 30.70 data required time +----------------------------------------------------------------------------- + 30.70 data required time + -27.43 data arrival time +----------------------------------------------------------------------------- + 3.27 slack (MET) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[4] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.55 0.47 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.55 0.00 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.11 0.28 24.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net) + 0.11 0.00 24.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4) + 0.05 0.21 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net) + 0.05 0.00 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.11 0.16 24.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net) + 0.11 0.00 24.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND7/D (sky130_fd_sc_hd__and4_2) + 0.06 0.24 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND7/X (sky130_fd_sc_hd__and4_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SEL (net) + 0.06 0.00 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.47 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.SEL (net) + 0.54 0.00 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.24 0.33 25.47 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.SEL_B (net) + 0.24 0.00 25.47 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.BIT[4].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 2.47 1.98 27.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.BIT[4].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[4] (net) + 2.47 0.04 27.49 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[4]/D (sky130_fd_sc_hd__dfxtp_1) + 27.49 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 2.14 1.55 30.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.74 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 2.15 0.11 30.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[4]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 30.22 clock uncertainty + 0.69 30.91 clock reconvergence pessimism + -0.14 30.76 library setup time + 30.76 data required time +----------------------------------------------------------------------------- + 30.76 data required time + -27.49 data arrival time +----------------------------------------------------------------------------- + 3.27 slack (MET) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[0] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.55 0.47 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.55 0.01 23.79 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.28 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net) + 0.12 0.00 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4) + 0.04 0.20 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN (net) + 0.04 0.00 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.17 24.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN_buf (net) + 0.13 0.00 24.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND5/D (sky130_fd_sc_hd__and4b_2) + 0.06 0.25 24.69 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND5/X (sky130_fd_sc_hd__and4b_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.SEL (net) + 0.06 0.00 24.69 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.37 0.35 25.05 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.07 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.SEL (net) + 0.37 0.00 25.05 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.29 0.36 25.41 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 16 0.06 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.SEL_B (net) + 0.29 0.00 25.41 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.BIT[0].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 2.50 2.01 27.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.BIT[0].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[0] (net) + 2.52 0.02 27.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[0]/D (sky130_fd_sc_hd__dfxtp_1) + 27.45 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 2.14 1.55 30.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.74 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 2.15 0.07 30.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[0]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 30.18 clock uncertainty + 0.69 30.86 clock reconvergence pessimism + -0.15 30.72 library setup time + 30.72 data required time +----------------------------------------------------------------------------- + 30.72 data required time + -27.45 data arrival time +----------------------------------------------------------------------------- + 3.27 slack (MET) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[30] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.55 0.47 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.55 0.02 23.80 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.28 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.A_buf[3] (net) + 0.12 0.00 24.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4) + 0.05 0.21 24.28 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4) + 1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.DEC.EN (net) + 0.05 0.00 24.28 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.17 24.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.DEC.EN_buf (net) + 0.12 0.00 24.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.DEC.AND5/D (sky130_fd_sc_hd__and4b_2) + 0.07 0.26 24.71 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.DEC.AND5/X (sky130_fd_sc_hd__and4b_2) + 1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.SEL (net) + 0.07 0.00 24.71 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.49 0.44 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.09 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.SEL (net) + 0.49 0.00 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[3].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.26 0.35 25.50 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[3].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[3].B.SEL_B (net) + 0.26 0.00 25.50 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[3].B.BIT[6].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 2.42 1.94 27.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[3].B.BIT[6].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_pre[30] (net) + 2.42 0.03 27.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[30]/D (sky130_fd_sc_hd__dfxtp_1) + 27.47 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 2.14 1.55 30.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.74 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 2.15 0.08 30.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[1].RAM32.Do_FF[30]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 30.19 clock uncertainty + 0.69 30.88 clock reconvergence pessimism + -0.14 30.74 library setup time + 30.74 data required time +----------------------------------------------------------------------------- + 30.74 data required time + -27.47 data arrival time +----------------------------------------------------------------------------- + 3.27 slack (MET) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[15] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.55 0.47 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.55 0.00 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.11 0.28 24.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net) + 0.11 0.00 24.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4) + 0.05 0.21 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net) + 0.05 0.00 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.11 0.16 24.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net) + 0.11 0.00 24.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND7/D (sky130_fd_sc_hd__and4_2) + 0.06 0.24 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND7/X (sky130_fd_sc_hd__and4_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SEL (net) + 0.06 0.00 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.47 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.SEL (net) + 0.54 0.01 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.24 0.33 25.48 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.SEL_B (net) + 0.24 0.00 25.48 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.BIT[7].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 2.44 1.95 27.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.BIT[7].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[15] (net) + 2.44 0.05 27.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[15]/D (sky130_fd_sc_hd__dfxtp_1) + 27.48 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 2.14 1.55 30.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.74 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 2.15 0.09 30.45 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[15]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 30.20 clock uncertainty + 0.69 30.89 clock reconvergence pessimism + -0.14 30.75 library setup time + 30.75 data required time +----------------------------------------------------------------------------- + 30.75 data required time + -27.48 data arrival time +----------------------------------------------------------------------------- + 3.27 slack (MET) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[6] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.55 0.47 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.55 0.01 23.79 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.28 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net) + 0.12 0.00 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4) + 0.04 0.20 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN (net) + 0.04 0.00 24.27 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.17 24.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.EN_buf (net) + 0.13 0.00 24.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND5/D (sky130_fd_sc_hd__and4b_2) + 0.06 0.25 24.69 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.DEC.AND5/X (sky130_fd_sc_hd__and4b_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.SEL (net) + 0.06 0.00 24.69 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.37 0.35 25.05 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.07 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.SEL (net) + 0.37 0.00 25.05 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.29 0.36 25.41 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 16 0.06 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.SEL_B (net) + 0.29 0.00 25.41 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.BIT[6].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 2.48 2.02 27.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.BIT[6].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[6] (net) + 2.49 0.01 27.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[6]/D (sky130_fd_sc_hd__dfxtp_1) + 27.44 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 2.14 1.55 30.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.74 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 2.15 0.06 30.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[6]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 30.17 clock uncertainty + 0.69 30.86 clock reconvergence pessimism + -0.14 30.71 library setup time + 30.71 data required time +----------------------------------------------------------------------------- + 30.71 data required time + -27.44 data arrival time +----------------------------------------------------------------------------- + 3.27 slack (MET) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[10] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.55 0.47 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.55 0.01 23.79 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.28 24.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.A_buf[3] (net) + 0.12 0.00 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/B (sky130_fd_sc_hd__and3_4) + 0.06 0.22 24.29 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.DEC.AND3/X (sky130_fd_sc_hd__and3_4) + 1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN (net) + 0.06 0.00 24.29 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.18 24.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.EN_buf (net) + 0.12 0.00 24.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND0/D_N (sky130_fd_sc_hd__nor4b_2) + 0.18 0.21 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.DEC.AND0/Y (sky130_fd_sc_hd__nor4b_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[0].W.SEL (net) + 0.18 0.00 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[0].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.41 0.42 25.09 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[0].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[0].W.BYTE[0].B.SEL (net) + 0.41 0.00 25.09 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[0].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.24 0.31 25.41 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[0].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[0].W.BYTE[1].B.SEL_B (net) + 0.24 0.00 25.41 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[0].W.BYTE[1].B.BIT[2].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 2.45 1.95 27.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[0].W.BYTE[1].B.BIT[2].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_pre[10] (net) + 2.46 0.06 27.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[10]/D (sky130_fd_sc_hd__dfxtp_1) + 27.42 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 2.14 1.55 30.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.74 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 2.15 0.04 30.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[3].RAM32.Do_FF[10]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 30.15 clock uncertainty + 0.69 30.84 clock reconvergence pessimism + -0.14 30.70 library setup time + 30.70 data required time +----------------------------------------------------------------------------- + 30.70 data required time + -27.42 data arrival time +----------------------------------------------------------------------------- + 3.27 slack (MET) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[13] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.55 0.47 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.55 0.00 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.11 0.28 24.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net) + 0.11 0.00 24.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4) + 0.05 0.21 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net) + 0.05 0.00 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.11 0.16 24.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net) + 0.11 0.00 24.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND7/D (sky130_fd_sc_hd__and4_2) + 0.06 0.24 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND7/X (sky130_fd_sc_hd__and4_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SEL (net) + 0.06 0.00 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.47 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.SEL (net) + 0.54 0.01 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.24 0.33 25.48 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.SEL_B (net) + 0.24 0.00 25.48 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.BIT[5].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 2.43 1.95 27.43 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.BIT[5].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[13] (net) + 2.44 0.04 27.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[13]/D (sky130_fd_sc_hd__dfxtp_1) + 27.48 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 2.14 1.55 30.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.74 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 2.15 0.10 30.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[13]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 30.21 clock uncertainty + 0.69 30.90 clock reconvergence pessimism + -0.14 30.75 library setup time + 30.75 data required time +----------------------------------------------------------------------------- + 30.75 data required time + -27.48 data arrival time +----------------------------------------------------------------------------- + 3.28 slack (MET) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[14] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.55 0.47 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.55 0.00 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.11 0.28 24.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net) + 0.11 0.00 24.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4) + 0.05 0.21 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net) + 0.05 0.00 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.11 0.16 24.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net) + 0.11 0.00 24.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND7/D (sky130_fd_sc_hd__and4_2) + 0.06 0.24 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND7/X (sky130_fd_sc_hd__and4_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SEL (net) + 0.06 0.00 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.47 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.SEL (net) + 0.54 0.01 25.15 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.24 0.33 25.48 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.SEL_B (net) + 0.24 0.00 25.48 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.BIT[6].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 2.44 1.96 27.44 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.BIT[6].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[14] (net) + 2.45 0.04 27.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[14]/D (sky130_fd_sc_hd__dfxtp_1) + 27.48 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 2.14 1.55 30.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.74 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 2.15 0.10 30.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[14]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 30.21 clock uncertainty + 0.69 30.90 clock reconvergence pessimism + -0.14 30.76 library setup time + 30.76 data required time +----------------------------------------------------------------------------- + 30.76 data required time + -27.48 data arrival time +----------------------------------------------------------------------------- + 3.28 slack (MET) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[17] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.55 0.47 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.55 0.01 23.79 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.12 0.28 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.A_buf[3] (net) + 0.12 0.00 24.07 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4) + 0.06 0.22 24.29 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4) + 1 0.01 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN (net) + 0.06 0.00 24.29 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.18 24.46 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.EN_buf (net) + 0.13 0.00 24.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND1/D (sky130_fd_sc_hd__and4bb_2) + 0.05 0.24 24.71 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.DEC.AND1/X (sky130_fd_sc_hd__and4bb_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.SEL (net) + 0.05 0.00 24.71 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.41 0.37 25.08 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.08 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[0].B.SEL (net) + 0.41 0.01 25.09 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[2].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.22 0.30 25.39 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[2].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[2].B.SEL_B (net) + 0.22 0.00 25.39 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[2].B.BIT[1].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 2.47 1.98 27.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[2].B.BIT[1].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_pre[17] (net) + 2.48 0.03 27.40 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[17]/D (sky130_fd_sc_hd__dfxtp_1) + 27.40 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 2.14 1.55 30.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.74 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 2.14 0.02 30.38 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.Do_FF[17]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 30.13 clock uncertainty + 0.69 30.82 clock reconvergence pessimism + -0.14 30.68 library setup time + 30.68 data required time +----------------------------------------------------------------------------- + 30.68 data required time + -27.40 data arrival time +----------------------------------------------------------------------------- + 3.28 slack (MET) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[2] + (rising edge-triggered flip-flop clocked by clock) +Path Group: clock +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 2.03 2.03 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.49 3.52 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 3.52 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.13 3.65 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 3.65 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 3.74 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 3.74 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.12 3.86 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 3.86 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.30 4.17 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 4.17 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.33 4.50 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 4.50 ^ soc/core/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.05 0.22 4.72 ^ soc/core/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 4 0.02 soc/core/clknet_0_core_clk (net) + 0.05 0.00 4.72 ^ soc/core/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 4.86 ^ soc/core/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_0_core_clk (net) + 0.05 0.00 4.87 ^ soc/core/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.14 5.00 ^ soc/core/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_1_core_clk (net) + 0.04 0.00 5.00 ^ soc/core/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.14 ^ soc/core/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_2_core_clk (net) + 0.05 0.00 5.14 ^ soc/core/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.14 5.29 ^ soc/core/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_1_1_3_core_clk (net) + 0.05 0.00 5.29 ^ soc/core/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.19 0.25 5.54 ^ soc/core/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.06 soc/core/clknet_1_1_4_core_clk (net) + 0.19 0.01 5.55 ^ soc/core/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 5.74 ^ soc/core/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_2_3_0_core_clk (net) + 0.05 0.00 5.74 ^ soc/core/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.23 5.97 ^ soc/core/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.05 soc/core/clknet_2_3_1_core_clk (net) + 0.15 0.00 5.97 ^ soc/core/clkbuf_3_6_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.05 0.19 6.16 ^ soc/core/clkbuf_3_6_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_0_core_clk (net) + 0.05 0.00 6.16 ^ soc/core/clkbuf_3_6_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 6.30 ^ soc/core/clkbuf_3_6_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_3_6_1_core_clk (net) + 0.04 0.00 6.30 ^ soc/core/clkbuf_3_6_2_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.12 0.19 6.49 ^ soc/core/clkbuf_3_6_2_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.04 soc/core/clknet_3_6_2_core_clk (net) + 0.12 0.00 6.49 ^ soc/core/clkbuf_4_12_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.22 6.71 ^ soc/core/clkbuf_4_12_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.03 soc/core/clknet_4_12_0_core_clk (net) + 0.10 0.00 6.71 ^ soc/core/clkbuf_5_24_0_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.15 6.87 ^ soc/core/clkbuf_5_24_0_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 1 0.01 soc/core/clknet_5_24_0_core_clk (net) + 0.04 0.00 6.87 ^ soc/core/clkbuf_5_24_1_core_clk/A (sky130_fd_sc_hd__clkbuf_4) + 0.84 0.71 7.57 ^ soc/core/clkbuf_5_24_1_core_clk/X (sky130_fd_sc_hd__clkbuf_4) + 34 0.29 soc/core/clknet_5_24_1_core_clk (net) + 0.84 0.03 7.60 ^ soc/core/clkbuf_leaf_244_core_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.08 0.32 7.92 ^ soc/core/clkbuf_leaf_244_core_clk/X (sky130_fd_sc_hd__clkbuf_16) + 14 0.04 soc/core/clknet_leaf_244_core_clk (net) + 0.08 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.17 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 7 0.03 soc/core/grant[1] (net) + 0.17 0.00 8.36 ^ soc/core/_16979_/A_N (sky130_fd_sc_hd__and2b_1) + 0.06 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 1 0.01 soc/core/_07039_ (net) + 0.06 0.00 8.60 v soc/core/_16980_/A (sky130_fd_sc_hd__buf_12) + 0.08 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 14 0.14 soc/core/_07040_ (net) + 0.08 0.01 8.79 v soc/core/_30651_/A (sky130_fd_sc_hd__buf_12) + 0.20 0.24 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 78 0.47 soc/core/_02059_ (net) + 0.38 0.17 9.21 v soc/core/_31545_/B (sky130_fd_sc_hd__nor2_8) + 1.40 1.12 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 64 0.40 soc/core/_02060_ (net) + 1.43 0.18 10.51 ^ soc/core/_33553_/S (sky130_fd_sc_hd__mux2_8) + 0.25 0.64 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 48 0.38 soc/core/_02137_ (net) + 0.32 0.09 11.24 v soc/core/_17322_/A (sky130_fd_sc_hd__inv_2) + 0.07 0.12 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 1 0.00 soc/core/net639 (net) + 0.07 0.00 11.36 ^ soc/core/repeater1633/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1633 (net) + 0.04 0.00 11.45 ^ soc/core/repeater1632/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1632 (net) + 0.05 0.00 11.54 ^ soc/core/repeater1631/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1631 (net) + 0.06 0.00 11.63 ^ soc/core/repeater1630/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1630 (net) + 0.06 0.00 11.73 ^ soc/core/repeater1629/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1629 (net) + 0.07 0.00 11.84 ^ soc/core/repeater1628/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1628 (net) + 0.04 0.00 11.93 ^ soc/core/repeater1627/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1627 (net) + 0.05 0.00 12.01 ^ soc/core/repeater1626/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1626 (net) + 0.04 0.00 12.10 ^ soc/core/repeater1625/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1625 (net) + 0.05 0.00 12.18 ^ soc/core/repeater1624/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1624 (net) + 0.05 0.00 12.27 ^ soc/core/repeater1623/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1623 (net) + 0.05 0.00 12.37 ^ soc/core/repeater1622/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1622 (net) + 0.05 0.00 12.46 ^ soc/core/repeater1621/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1621 (net) + 0.05 0.00 12.55 ^ soc/core/repeater1620/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1620 (net) + 0.05 0.00 12.64 ^ soc/core/repeater1619/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1619 (net) + 0.09 0.00 12.76 ^ soc/core/repeater1618/A (sky130_fd_sc_hd__clkbuf_1) + 0.12 0.15 12.91 ^ soc/core/repeater1618/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1618 (net) + 0.12 0.00 12.91 ^ soc/core/repeater1605/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.08 ^ soc/core/repeater1605/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1605 (net) + 0.13 0.00 13.08 ^ soc/core/repeater1604/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.26 ^ soc/core/repeater1604/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1604 (net) + 0.14 0.00 13.26 ^ soc/core/repeater1603/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.44 ^ soc/core/repeater1603/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1603 (net) + 0.14 0.00 13.44 ^ soc/core/repeater1602/A (sky130_fd_sc_hd__clkbuf_1) + 0.14 0.18 13.62 ^ soc/core/repeater1602/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1602 (net) + 0.14 0.00 13.62 ^ soc/core/repeater1601/A (sky130_fd_sc_hd__clkbuf_1) + 0.13 0.17 13.79 ^ soc/core/repeater1601/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1601 (net) + 0.13 0.00 13.79 ^ soc/core/repeater1600/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 13.99 ^ soc/core/repeater1600/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1600 (net) + 0.16 0.00 13.99 ^ soc/core/repeater1599/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.12 14.11 ^ soc/core/repeater1599/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1599 (net) + 0.05 0.00 14.11 ^ soc/core/repeater1598/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.11 14.22 ^ soc/core/repeater1598/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1598 (net) + 0.08 0.00 14.22 ^ soc/core/repeater1597/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.13 14.36 ^ soc/core/repeater1597/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1597 (net) + 0.10 0.00 14.36 ^ soc/core/repeater1596/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.48 ^ soc/core/repeater1596/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1596 (net) + 0.08 0.00 14.48 ^ soc/core/repeater1595/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 14.60 ^ soc/core/repeater1595/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1595 (net) + 0.07 0.00 14.60 ^ soc/core/repeater1594/A (sky130_fd_sc_hd__clkbuf_1) + 0.09 0.13 14.73 ^ soc/core/repeater1594/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1594 (net) + 0.09 0.00 14.73 ^ soc/core/repeater1593/A (sky130_fd_sc_hd__clkbuf_1) + 0.08 0.13 14.86 ^ soc/core/repeater1593/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1593 (net) + 0.08 0.00 14.86 ^ soc/core/repeater1592/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.14 15.00 ^ soc/core/repeater1592/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1592 (net) + 0.10 0.00 15.00 ^ soc/core/repeater1591/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.10 15.10 ^ soc/core/repeater1591/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1591 (net) + 0.05 0.00 15.10 ^ soc/core/repeater1590/A (sky130_fd_sc_hd__clkbuf_1) + 0.19 0.19 15.29 ^ soc/core/repeater1590/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.02 soc/core/net1590 (net) + 0.19 0.00 15.29 ^ soc/core/repeater1589/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.11 15.40 ^ soc/core/repeater1589/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1589 (net) + 0.04 0.00 15.40 ^ soc/core/repeater1588/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.10 15.50 ^ soc/core/repeater1588/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1588 (net) + 0.07 0.00 15.50 ^ soc/core/repeater1587/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 15.61 ^ soc/core/repeater1587/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1587 (net) + 0.06 0.00 15.61 ^ soc/core/repeater1586/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.70 ^ soc/core/repeater1586/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1586 (net) + 0.05 0.00 15.70 ^ soc/core/repeater1585/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 15.78 ^ soc/core/repeater1585/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1585 (net) + 0.04 0.00 15.78 ^ soc/core/repeater1584/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 15.86 ^ soc/core/repeater1584/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1584 (net) + 0.05 0.00 15.86 ^ soc/core/repeater1583/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 15.95 ^ soc/core/repeater1583/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1583 (net) + 0.04 0.00 15.95 ^ soc/core/repeater1582/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.03 ^ soc/core/repeater1582/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1582 (net) + 0.04 0.00 16.03 ^ soc/core/repeater1581/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.11 ^ soc/core/repeater1581/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1581 (net) + 0.04 0.00 16.11 ^ soc/core/repeater1580/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.19 ^ soc/core/repeater1580/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1580 (net) + 0.04 0.00 16.19 ^ soc/core/repeater1579/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.27 ^ soc/core/repeater1579/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1579 (net) + 0.04 0.00 16.27 ^ soc/core/repeater1578/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.35 ^ soc/core/repeater1578/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1578 (net) + 0.04 0.00 16.35 ^ soc/core/repeater1577/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.43 ^ soc/core/repeater1577/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1577 (net) + 0.04 0.00 16.43 ^ soc/core/repeater1576/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.51 ^ soc/core/repeater1576/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1576 (net) + 0.04 0.00 16.51 ^ soc/core/repeater1575/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.60 ^ soc/core/repeater1575/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1575 (net) + 0.05 0.00 16.60 ^ soc/core/repeater1574/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 16.68 ^ soc/core/repeater1574/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1574 (net) + 0.04 0.00 16.68 ^ soc/core/repeater1573/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.77 ^ soc/core/repeater1573/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1573 (net) + 0.05 0.00 16.77 ^ soc/core/repeater1572/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.86 ^ soc/core/repeater1572/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1572 (net) + 0.05 0.00 16.86 ^ soc/core/repeater1571/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 16.95 ^ soc/core/repeater1571/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1571 (net) + 0.05 0.00 16.95 ^ soc/core/repeater1570/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 17.04 ^ soc/core/repeater1570/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1570 (net) + 0.06 0.00 17.05 ^ soc/core/repeater1569/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.14 ^ soc/core/repeater1569/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1569 (net) + 0.05 0.00 17.14 ^ soc/core/repeater1568/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.22 ^ soc/core/repeater1568/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1568 (net) + 0.05 0.00 17.22 ^ soc/core/repeater1567/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 17.36 ^ soc/core/repeater1567/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1567 (net) + 0.11 0.00 17.36 ^ soc/core/repeater1566/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.12 17.48 ^ soc/core/repeater1566/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1566 (net) + 0.07 0.00 17.48 ^ soc/core/repeater1565/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.57 ^ soc/core/repeater1565/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1565 (net) + 0.05 0.00 17.57 ^ soc/core/repeater1564/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.65 ^ soc/core/repeater1564/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1564 (net) + 0.04 0.00 17.65 ^ soc/core/repeater1563/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.73 ^ soc/core/repeater1563/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1563 (net) + 0.04 0.00 17.73 ^ soc/core/repeater1562/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 17.81 ^ soc/core/repeater1562/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1562 (net) + 0.04 0.00 17.81 ^ soc/core/repeater1561/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 17.90 ^ soc/core/repeater1561/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1561 (net) + 0.05 0.00 17.90 ^ soc/core/repeater1560/A (sky130_fd_sc_hd__clkbuf_1) + 0.11 0.13 18.03 ^ soc/core/repeater1560/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1560 (net) + 0.11 0.00 18.03 ^ soc/core/repeater1559/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.12 18.15 ^ soc/core/repeater1559/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1559 (net) + 0.06 0.00 18.15 ^ soc/core/repeater1558/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.24 ^ soc/core/repeater1558/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1558 (net) + 0.05 0.00 18.24 ^ soc/core/repeater1557/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.33 ^ soc/core/repeater1557/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1557 (net) + 0.05 0.00 18.33 ^ soc/core/repeater1556/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.43 ^ soc/core/repeater1556/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1556 (net) + 0.06 0.00 18.43 ^ soc/core/repeater1555/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.53 ^ soc/core/repeater1555/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1555 (net) + 0.06 0.00 18.53 ^ soc/core/repeater1554/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 18.62 ^ soc/core/repeater1554/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1554 (net) + 0.05 0.00 18.62 ^ soc/core/repeater1553/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.10 18.71 ^ soc/core/repeater1553/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1553 (net) + 0.06 0.00 18.71 ^ soc/core/repeater1552/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 18.80 ^ soc/core/repeater1552/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1552 (net) + 0.04 0.00 18.80 ^ soc/core/repeater1551/A (sky130_fd_sc_hd__clkbuf_1) + 0.10 0.12 18.93 ^ soc/core/repeater1551/X (sky130_fd_sc_hd__clkbuf_1) + 2 0.01 soc/core/net1551 (net) + 0.10 0.00 18.93 ^ soc/core/repeater1550/A (sky130_fd_sc_hd__clkbuf_1) + 0.16 0.19 19.11 ^ soc/core/repeater1550/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1550 (net) + 0.16 0.00 19.12 ^ soc/core/repeater1549/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.35 ^ soc/core/repeater1549/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1549 (net) + 0.16 0.01 19.36 ^ soc/core/repeater1548/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 19.61 ^ soc/core/repeater1548/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1548 (net) + 0.18 0.00 19.61 ^ soc/core/repeater1547/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 19.85 ^ soc/core/repeater1547/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1547 (net) + 0.16 0.00 19.85 ^ soc/core/repeater1546/A (sky130_fd_sc_hd__clkbuf_2) + 0.22 0.28 20.13 ^ soc/core/repeater1546/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.04 soc/core/net1546 (net) + 0.22 0.01 20.14 ^ soc/core/repeater1545/A (sky130_fd_sc_hd__clkbuf_2) + 0.17 0.26 20.40 ^ soc/core/repeater1545/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1545 (net) + 0.17 0.00 20.40 ^ soc/core/repeater1544/A (sky130_fd_sc_hd__clkbuf_2) + 0.18 0.25 20.65 ^ soc/core/repeater1544/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1544 (net) + 0.18 0.01 20.66 ^ soc/core/repeater1543/A (sky130_fd_sc_hd__clkbuf_2) + 0.16 0.24 20.90 ^ soc/core/repeater1543/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.03 soc/core/net1543 (net) + 0.16 0.00 20.90 ^ soc/core/repeater1542/A (sky130_fd_sc_hd__clkbuf_2) + 0.47 0.44 21.34 ^ soc/core/repeater1542/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.09 soc/core/net1542 (net) + 0.47 0.03 21.37 ^ soc/core/repeater1541/A (sky130_fd_sc_hd__clkbuf_2) + 0.06 0.21 21.59 ^ soc/core/repeater1541/X (sky130_fd_sc_hd__clkbuf_2) + 2 0.01 soc/core/net1541 (net) + 0.06 0.00 21.59 ^ soc/core/repeater1540/A (sky130_fd_sc_hd__clkbuf_1) + 0.07 0.11 21.70 ^ soc/core/repeater1540/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.01 soc/core/net1540 (net) + 0.07 0.00 21.70 ^ soc/core/repeater1539/A (sky130_fd_sc_hd__clkbuf_1) + 0.06 0.11 21.80 ^ soc/core/repeater1539/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1539 (net) + 0.06 0.00 21.80 ^ soc/core/repeater1538/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 21.90 ^ soc/core/repeater1538/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1538 (net) + 0.05 0.00 21.90 ^ soc/core/repeater1537/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 21.98 ^ soc/core/repeater1537/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1537 (net) + 0.04 0.00 21.98 ^ soc/core/repeater1536/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.06 ^ soc/core/repeater1536/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1536 (net) + 0.04 0.00 22.06 ^ soc/core/repeater1535/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.14 ^ soc/core/repeater1535/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1535 (net) + 0.04 0.00 22.14 ^ soc/core/repeater1534/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.23 ^ soc/core/repeater1534/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1534 (net) + 0.04 0.00 22.23 ^ soc/core/repeater1533/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.31 ^ soc/core/repeater1533/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1533 (net) + 0.05 0.00 22.31 ^ soc/core/repeater1532/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.40 ^ soc/core/repeater1532/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1532 (net) + 0.05 0.00 22.40 ^ soc/core/repeater1531/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.49 ^ soc/core/repeater1531/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1531 (net) + 0.05 0.00 22.49 ^ soc/core/repeater1530/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.58 ^ soc/core/repeater1530/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1530 (net) + 0.04 0.00 22.58 ^ soc/core/repeater1529/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 22.66 ^ soc/core/repeater1529/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1529 (net) + 0.04 0.00 22.66 ^ soc/core/repeater1528/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.75 ^ soc/core/repeater1528/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1528 (net) + 0.05 0.00 22.75 ^ soc/core/repeater1527/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.84 ^ soc/core/repeater1527/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1527 (net) + 0.05 0.00 22.84 ^ soc/core/repeater1526/A (sky130_fd_sc_hd__clkbuf_1) + 0.05 0.09 22.93 ^ soc/core/repeater1526/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1526 (net) + 0.05 0.00 22.93 ^ soc/core/repeater1525/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.09 23.01 ^ soc/core/repeater1525/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1525 (net) + 0.04 0.00 23.01 ^ soc/core/repeater1524/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.10 ^ soc/core/repeater1524/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1524 (net) + 0.04 0.00 23.10 ^ soc/core/repeater1523/A (sky130_fd_sc_hd__clkbuf_1) + 0.04 0.08 23.18 ^ soc/core/repeater1523/X (sky130_fd_sc_hd__clkbuf_1) + 1 0.00 soc/core/net1523 (net) + 0.04 0.00 23.18 ^ soc/core/output639/A (sky130_fd_sc_hd__clkbuf_4) + 0.04 0.13 23.31 ^ soc/core/output639/X (sky130_fd_sc_hd__clkbuf_4) + 4 0.01 soc/mgmt_soc_dff_A[3] (net) + 0.04 0.00 23.31 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.55 0.47 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.A_buf[3] (net) + 0.55 0.00 23.78 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/A (sky130_fd_sc_hd__clkbuf_2) + 0.11 0.28 24.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.ABUF[3]/X (sky130_fd_sc_hd__clkbuf_2) + 4 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.A_buf[3] (net) + 0.11 0.00 24.06 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/B (sky130_fd_sc_hd__and3b_4) + 0.05 0.21 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.DEC.AND1/X (sky130_fd_sc_hd__and3b_4) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN (net) + 0.05 0.00 24.26 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.11 0.16 24.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.ENBUF/X (sky130_fd_sc_hd__clkbuf_2) + 8 0.02 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.EN_buf (net) + 0.11 0.00 24.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND7/D (sky130_fd_sc_hd__and4_2) + 0.06 0.24 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.DEC.AND7/X (sky130_fd_sc_hd__and4_2) + 1 0.00 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SEL (net) + 0.06 0.00 24.67 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SELBUF/A (sky130_fd_sc_hd__clkbuf_2) + 0.54 0.47 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.SELBUF/X (sky130_fd_sc_hd__clkbuf_2) + 16 0.10 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.SEL (net) + 0.54 0.00 25.14 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.SELINV/A (sky130_fd_sc_hd__inv_1) + 0.24 0.33 25.47 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.SELINV/Y (sky130_fd_sc_hd__inv_1) + 8 0.04 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.SEL_B (net) + 0.24 0.00 25.47 v soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.BIT[2].OBUF/TE_B (sky130_fd_sc_hd__ebufn_2) + 2.44 1.95 27.42 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.BIT[2].OBUF/Z (sky130_fd_sc_hd__ebufn_2) + 2 0.21 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_pre[2] (net) + 2.45 0.06 27.48 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[2]/D (sky130_fd_sc_hd__dfxtp_1) + 27.48 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock source latency + 0.00 0.00 25.00 ^ clock (in) + 1 1.12 clock (net) + 0.00 1.84 26.84 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 6 1.12 clock (net) + 0.08 1.09 27.93 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 4 0.01 clock_core (net) + 0.08 0.00 27.93 ^ clocking/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16) + 0.03 0.12 28.04 ^ clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 2 0.01 clocking/clknet_0_ext_clk (net) + 0.03 0.00 28.05 ^ clocking/clkbuf_1_1_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_2) + 0.02 0.08 28.12 ^ clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.00 clocking/clknet_1_1_0_ext_clk (net) + 0.03 0.00 28.12 ^ clocking/_436_/A0 (sky130_fd_sc_hd__mux2_1) + 0.05 0.11 28.23 ^ clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 2 0.00 clocking/_037_ (net) + 0.05 0.00 28.24 ^ clocking/_399_/A0 (sky130_fd_sc_hd__mux2_1) + 0.28 0.27 28.51 ^ clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 4 0.03 clocking/net10 (net) + 0.28 0.00 28.51 ^ clocking/output10/A (sky130_fd_sc_hd__clkbuf_1) + 0.32 0.30 28.81 ^ clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 10 0.03 caravel_clk (net) + 0.32 0.00 28.81 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/A (sky130_fd_sc_hd__clkbuf_4) + 2.14 1.55 30.36 ^ soc/DFFRAM_0/BLOCK[0].RAM128.CLKBUF/X (sky130_fd_sc_hd__clkbuf_4) + 264 0.74 soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[0].RAM32.CLK (net) + 2.15 0.11 30.47 ^ soc/DFFRAM_0/BLOCK[0].RAM128.BLOCK[2].RAM32.Do_FF[2]/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.25 30.22 clock uncertainty + 0.69 30.91 clock reconvergence pessimism + -0.14 30.76 library setup time + 30.76 data required time +----------------------------------------------------------------------------- + 30.76 data required time + -27.48 data arrival time +----------------------------------------------------------------------------- + 3.29 slack (MET) + + +worst slack -0.46 +worst slack 0.20 + Management Area Interface +No paths found. + User project Interface +Startpoint: clock (clock source 'clock') +Endpoint: mprj/wb_clk_i (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.50 v clock (in) + 3.66 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 0.43 17.14 v clocking/_399_/X (sky130_fd_sc_hd__mux2_1) + 0.24 17.38 v clocking/output10/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 17.57 v mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 17.68 ^ mgmt_buffers/_391_/Y (sky130_fd_sc_hd__clkinv_2) + 0.03 17.71 v mgmt_buffers/mprj_clk_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 17.71 v mprj/wb_clk_i (user_project_wrapper) + 17.71 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: clocking/_445_ (rising edge-triggered flip-flop clocked by clock') +Endpoint: mprj/wb_rst_i (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 17.23 ^ clocking/_445_/CLK (sky130_fd_sc_hd__dfstp_1) + 0.51 17.74 ^ clocking/_445_/Q (sky130_fd_sc_hd__dfstp_1) + 0.04 17.78 v clocking/_393_/Y (sky130_fd_sc_hd__nor2_1) + 0.14 17.93 v clocking/output11/X (sky130_fd_sc_hd__buf_2) + 0.16 18.08 v mgmt_buffers/input3/X (sky130_fd_sc_hd__clkbuf_2) + 0.07 18.15 ^ mgmt_buffers/mprj_rstn_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 18.15 ^ mprj/wb_rst_i (user_project_wrapper) + 18.15 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_cyc_i (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.26 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 1.29 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.64 10.97 v soc/core/_32606_/X (sky130_fd_sc_hd__mux2_8) + 0.71 11.68 ^ soc/core/_17309_/X (sky130_fd_sc_hd__and4b_4) + 0.16 11.84 v soc/core/_24195_/Y (sky130_fd_sc_hd__nand2_4) + 0.59 12.43 ^ soc/core/_32286_/Y (sky130_fd_sc_hd__nor3b_4) + 0.29 12.72 ^ soc/core/output711/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 12.92 ^ mgmt_buffers/input549/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.13 13.05 v mgmt_buffers/_393_/Y (sky130_fd_sc_hd__inv_6) + 0.09 13.15 ^ mgmt_buffers/mprj_cyc_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 13.15 ^ mprj/wbs_cyc_i (user_project_wrapper) + 13.15 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_stb_i (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.26 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 1.29 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.87 11.20 ^ soc/core/_33564_/X (sky130_fd_sc_hd__mux2_8) + 0.35 11.56 v soc/core/_19656_/Y (sky130_fd_sc_hd__clkinv_16) + 0.36 11.92 v soc/core/_32562_/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 12.07 v soc/core/output748/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 12.27 v mgmt_buffers/input619/X (sky130_fd_sc_hd__buf_4) + 0.14 12.41 ^ mgmt_buffers/_394_/Y (sky130_fd_sc_hd__inv_2) + 0.04 12.44 v mgmt_buffers/mprj_stb_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 12.44 v mprj/wbs_stb_i (user_project_wrapper) + 12.44 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_we_i (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.26 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 1.29 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.92 11.25 ^ soc/core/_32603_/X (sky130_fd_sc_hd__mux2_8) + 0.29 11.54 ^ soc/core/output750/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 11.71 ^ mgmt_buffers/input620/X (sky130_fd_sc_hd__clkbuf_2) + 0.16 11.87 v mgmt_buffers/_395_/Y (sky130_fd_sc_hd__clkinv_2) + 0.09 11.95 ^ mgmt_buffers/mprj_we_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 11.95 ^ mprj/wbs_we_i (user_project_wrapper) + 11.95 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_sel_i[3] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.10 8.46 v soc/core/_21986_/Y (sky130_fd_sc_hd__inv_2) + 0.11 8.57 ^ soc/core/_30484_/Y (sky130_fd_sc_hd__o2111ai_1) + 0.12 8.68 ^ soc/core/repeater2299/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 8.78 ^ soc/core/repeater2298/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 8.90 ^ soc/core/repeater2297/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 8.99 ^ soc/core/repeater2296/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 9.07 ^ soc/core/repeater2295/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 9.16 ^ soc/core/repeater2294/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 9.24 ^ soc/core/repeater2293/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 9.32 ^ soc/core/repeater2292/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 9.40 ^ soc/core/repeater2291/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 9.48 ^ soc/core/repeater2290/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 9.60 ^ soc/core/repeater2289/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 9.71 ^ soc/core/repeater2288/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 9.82 ^ soc/core/repeater2177/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 9.91 ^ soc/core/repeater2176/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 10.02 ^ soc/core/repeater2175/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 10.12 ^ soc/core/repeater2174/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 10.22 ^ soc/core/repeater2173/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 10.32 ^ soc/core/repeater2172/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 10.41 ^ soc/core/repeater2171/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 10.51 ^ soc/core/repeater2170/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 10.62 ^ soc/core/repeater2169/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 10.73 ^ soc/core/repeater2168/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 10.82 ^ soc/core/repeater2167/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 10.93 ^ soc/core/repeater2166/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 11.03 ^ soc/core/repeater2165/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 11.13 ^ soc/core/repeater2164/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 11.23 ^ soc/core/repeater2163/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 11.33 ^ soc/core/repeater2162/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 11.45 ^ soc/core/repeater2161/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 11.56 ^ soc/core/repeater2160/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 11.65 ^ soc/core/repeater2159/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 11.76 ^ soc/core/repeater2158/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 11.87 ^ soc/core/repeater2157/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 11.97 ^ soc/core/repeater2156/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 12.07 ^ soc/core/repeater2155/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 12.16 ^ soc/core/repeater2154/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 12.27 ^ soc/core/repeater2153/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 12.37 ^ soc/core/repeater2152/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 12.48 ^ soc/core/repeater2151/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 12.57 ^ soc/core/repeater2150/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 12.68 ^ soc/core/repeater2149/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 12.78 ^ soc/core/repeater2148/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 12.88 ^ soc/core/repeater2147/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 12.99 ^ soc/core/repeater2146/X (sky130_fd_sc_hd__clkbuf_1) + 0.13 13.12 ^ soc/core/repeater2145/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 13.22 ^ soc/core/repeater2144/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 13.31 ^ soc/core/repeater2143/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 13.42 ^ soc/core/repeater2142/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 13.53 ^ soc/core/repeater2141/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 13.63 ^ soc/core/repeater2140/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 13.73 ^ soc/core/repeater2139/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 13.82 ^ soc/core/repeater2138/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 13.92 ^ soc/core/repeater2137/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 14.02 ^ soc/core/repeater2136/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 14.12 ^ soc/core/repeater2135/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 14.22 ^ soc/core/repeater2134/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 14.32 ^ soc/core/repeater2133/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 14.42 ^ soc/core/repeater2132/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 14.52 ^ soc/core/repeater2131/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 14.62 ^ soc/core/repeater2130/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 14.71 ^ soc/core/repeater2129/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 14.81 ^ soc/core/repeater2128/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 14.92 ^ soc/core/repeater2127/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 15.01 ^ soc/core/repeater2126/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 15.11 ^ soc/core/repeater2125/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 15.21 ^ soc/core/repeater2124/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 15.32 ^ soc/core/repeater2123/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 15.42 ^ soc/core/repeater2122/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 15.51 ^ soc/core/repeater2121/X (sky130_fd_sc_hd__clkbuf_1) + 0.13 15.63 ^ soc/core/output744/X (sky130_fd_sc_hd__clkbuf_4) + 0.21 15.84 ^ mgmt_buffers/input615/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.15 16.00 v mgmt_buffers/_396_/Y (sky130_fd_sc_hd__clkinv_2) + 0.08 16.07 ^ mgmt_buffers/mprj_sel_buf[0]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 16.07 ^ mprj/wbs_sel_i[3] (user_project_wrapper) + 16.07 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_sel_i[2] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.22 8.58 ^ soc/core/_17329_/Y (sky130_fd_sc_hd__nand3b_4) + 0.13 8.71 ^ soc/core/_30697_/Y (sky130_fd_sc_hd__o21bai_1) + 0.12 8.83 ^ soc/core/repeater2120/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 8.91 ^ soc/core/repeater2119/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 9.00 ^ soc/core/repeater2118/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 9.08 ^ soc/core/repeater2117/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 9.18 ^ soc/core/repeater2116/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 9.26 ^ soc/core/repeater2115/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 9.34 ^ soc/core/repeater2114/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 9.43 ^ soc/core/repeater2113/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 9.51 ^ soc/core/repeater2112/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 9.59 ^ soc/core/repeater2111/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 9.70 ^ soc/core/repeater2110/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 9.81 ^ soc/core/repeater2022/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 9.91 ^ soc/core/repeater2021/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 10.03 ^ soc/core/repeater2020/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 10.15 ^ soc/core/repeater2019/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 10.25 ^ soc/core/repeater2018/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 10.35 ^ soc/core/repeater2017/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 10.46 ^ soc/core/repeater2016/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 10.57 ^ soc/core/repeater2015/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 10.68 ^ soc/core/repeater2014/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 10.79 ^ soc/core/repeater2013/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 10.91 ^ soc/core/repeater2012/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 11.02 ^ soc/core/repeater2011/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 11.13 ^ soc/core/repeater2010/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 11.25 ^ soc/core/repeater2009/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 11.37 ^ soc/core/repeater2008/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 11.47 ^ soc/core/repeater2007/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 11.58 ^ soc/core/repeater2006/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 11.70 ^ soc/core/repeater2005/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 11.81 ^ soc/core/repeater2004/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 11.92 ^ soc/core/repeater2003/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 12.03 ^ soc/core/repeater2002/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 12.15 ^ soc/core/repeater2001/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 12.25 ^ soc/core/repeater2000/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 12.37 ^ soc/core/repeater1999/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 12.47 ^ soc/core/repeater1998/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 12.58 ^ soc/core/repeater1997/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 12.69 ^ soc/core/repeater1996/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 12.80 ^ soc/core/repeater1995/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 12.90 ^ soc/core/repeater1994/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 13.01 ^ soc/core/repeater1993/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 13.12 ^ soc/core/repeater1992/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 13.23 ^ soc/core/repeater1991/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 13.34 ^ soc/core/repeater1990/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 13.45 ^ soc/core/repeater1989/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 13.57 ^ soc/core/repeater1988/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 13.67 ^ soc/core/repeater1987/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 13.78 ^ soc/core/repeater1986/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 13.89 ^ soc/core/repeater1985/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 14.00 ^ soc/core/repeater1984/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 14.10 ^ soc/core/repeater1983/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 14.21 ^ soc/core/repeater1982/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 14.31 ^ soc/core/repeater1981/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 14.41 ^ soc/core/repeater1980/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 14.51 ^ soc/core/repeater1979/X (sky130_fd_sc_hd__clkbuf_1) + 0.13 14.64 ^ soc/core/output745/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 14.80 ^ mgmt_buffers/input616/X (sky130_fd_sc_hd__clkbuf_2) + 0.08 14.88 v mgmt_buffers/_397_/Y (sky130_fd_sc_hd__inv_2) + 0.06 14.94 ^ mgmt_buffers/mprj_sel_buf[1]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 14.94 ^ mprj/wbs_sel_i[2] (user_project_wrapper) + 14.94 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_37442_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_sel_i[0] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.91 ^ soc/core/_37442_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.45 8.37 ^ soc/core/_37442_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.45 8.82 v soc/core/_33546_/X (sky130_fd_sc_hd__mux2_2) + 0.24 9.06 v soc/core/_30699_/X (sky130_fd_sc_hd__and4_1) + 0.05 9.11 ^ soc/core/_30700_/Y (sky130_fd_sc_hd__inv_2) + 0.08 9.18 ^ soc/core/repeater1977/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 9.30 ^ soc/core/repeater1976/X (sky130_fd_sc_hd__clkbuf_1) + 0.13 9.43 ^ soc/core/repeater1975/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 9.53 ^ soc/core/repeater1974/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 9.64 ^ soc/core/repeater1973/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 9.74 ^ soc/core/repeater1972/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 9.83 ^ soc/core/repeater1971/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 9.92 ^ soc/core/repeater1970/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 10.03 ^ soc/core/repeater1969/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 10.15 ^ soc/core/repeater1968/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 10.26 ^ soc/core/repeater1967/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 10.37 ^ soc/core/repeater1966/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 10.49 ^ soc/core/repeater1965/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 10.59 ^ soc/core/repeater1964/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 10.68 ^ soc/core/repeater1963/X (sky130_fd_sc_hd__clkbuf_1) + 0.20 10.88 ^ soc/core/repeater1962/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.23 11.12 ^ soc/core/repeater1960/X (sky130_fd_sc_hd__clkbuf_2) + 0.22 11.34 ^ soc/core/repeater1959/X (sky130_fd_sc_hd__clkbuf_2) + 0.23 11.56 ^ soc/core/repeater1958/X (sky130_fd_sc_hd__clkbuf_2) + 0.23 11.80 ^ soc/core/repeater1957/X (sky130_fd_sc_hd__clkbuf_2) + 0.24 12.04 ^ soc/core/repeater1956/X (sky130_fd_sc_hd__clkbuf_2) + 0.18 12.21 ^ soc/core/output747/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 12.38 ^ mgmt_buffers/input618/X (sky130_fd_sc_hd__clkbuf_2) + 0.13 12.51 v mgmt_buffers/_399_/Y (sky130_fd_sc_hd__clkinv_2) + 0.07 12.58 ^ mgmt_buffers/mprj_sel_buf[3]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 12.58 ^ mprj/wbs_sel_i[0] (user_project_wrapper) + 12.58 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_37505_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_sel_i[1] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.92 ^ soc/core/_37505_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.40 8.32 ^ soc/core/_37505_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.08 8.40 v soc/core/_30695_/Y (sky130_fd_sc_hd__nand2_1) + 0.32 8.71 v soc/core/_33547_/X (sky130_fd_sc_hd__mux2_1) + 0.34 9.06 v soc/core/_33548_/X (sky130_fd_sc_hd__mux2_2) + 0.24 9.30 v soc/core/_30691_/X (sky130_fd_sc_hd__and4_1) + 0.06 9.35 ^ soc/core/_30692_/Y (sky130_fd_sc_hd__inv_2) + 0.08 9.44 ^ soc/core/repeater867/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 9.52 ^ soc/core/repeater866/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 9.62 ^ soc/core/repeater865/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 9.71 ^ soc/core/repeater864/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 9.79 ^ soc/core/repeater863/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 9.90 ^ soc/core/repeater862/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 10.00 ^ soc/core/repeater861/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 10.10 ^ soc/core/repeater860/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 10.21 ^ soc/core/repeater859/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 10.30 ^ soc/core/repeater858/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 10.38 ^ soc/core/repeater857/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 10.48 ^ soc/core/repeater856/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 10.57 ^ soc/core/repeater855/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 10.74 ^ soc/core/repeater854/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.22 10.96 ^ soc/core/repeater852/X (sky130_fd_sc_hd__clkbuf_2) + 0.24 11.21 ^ soc/core/repeater851/X (sky130_fd_sc_hd__clkbuf_2) + 0.24 11.45 ^ soc/core/repeater850/X (sky130_fd_sc_hd__clkbuf_2) + 0.24 11.69 ^ soc/core/repeater849/X (sky130_fd_sc_hd__clkbuf_2) + 0.22 11.90 ^ soc/core/repeater848/X (sky130_fd_sc_hd__clkbuf_2) + 0.16 12.07 ^ soc/core/output746/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 12.23 ^ mgmt_buffers/input617/X (sky130_fd_sc_hd__clkbuf_2) + 0.13 12.37 v mgmt_buffers/_398_/Y (sky130_fd_sc_hd__clkinv_2) + 0.07 12.44 ^ mgmt_buffers/mprj_sel_buf[2]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 12.44 ^ mprj/wbs_sel_i[1] (user_project_wrapper) + 12.44 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[21] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.26 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 1.29 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.40 10.73 ^ soc/core/_32604_/X (sky130_fd_sc_hd__mux2_1) + 0.11 10.84 ^ soc/core/repeater1945/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 10.97 ^ soc/core/repeater1944/X (sky130_fd_sc_hd__clkbuf_1) + 0.13 11.10 ^ soc/core/repeater1943/X (sky130_fd_sc_hd__clkbuf_1) + 0.13 11.22 ^ soc/core/repeater1942/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 11.35 ^ soc/core/repeater1941/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 11.47 ^ soc/core/repeater1940/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 11.59 ^ soc/core/repeater1939/X (sky130_fd_sc_hd__clkbuf_1) + 0.13 11.72 ^ soc/core/repeater1938/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 11.81 ^ soc/core/repeater1937/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 11.89 ^ soc/core/repeater1936/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 11.97 ^ soc/core/repeater1935/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 12.06 ^ soc/core/repeater1934/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 12.15 ^ soc/core/repeater1933/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 12.23 ^ soc/core/repeater1932/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 12.32 ^ soc/core/repeater1931/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 12.40 ^ soc/core/repeater1930/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 12.48 ^ soc/core/repeater1929/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 12.56 ^ soc/core/repeater1928/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 12.64 ^ soc/core/repeater1927/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 12.71 ^ soc/core/repeater1926/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 12.79 ^ soc/core/repeater1925/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 12.87 ^ soc/core/repeater1924/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 12.96 ^ soc/core/repeater1923/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 13.04 ^ soc/core/repeater1922/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 13.12 ^ soc/core/repeater1921/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 13.20 ^ soc/core/repeater1920/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 13.28 ^ soc/core/repeater1919/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 13.36 ^ soc/core/repeater1918/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 13.45 ^ soc/core/repeater1917/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 13.53 ^ soc/core/repeater1916/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 13.62 ^ soc/core/repeater1915/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 13.71 ^ soc/core/repeater1914/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 13.81 ^ soc/core/repeater1913/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 13.91 ^ soc/core/repeater1912/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 14.02 ^ soc/core/repeater1911/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 14.11 ^ soc/core/repeater1910/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 14.19 ^ soc/core/repeater1909/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 14.27 ^ soc/core/repeater1908/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 14.36 ^ soc/core/repeater1907/X (sky130_fd_sc_hd__clkbuf_1) + 0.13 14.49 ^ soc/core/repeater1906/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 14.59 ^ soc/core/repeater1905/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 14.68 ^ soc/core/repeater1904/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 14.78 ^ soc/core/repeater1903/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 14.87 ^ soc/core/repeater1902/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 14.97 ^ soc/core/repeater1901/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 15.05 ^ soc/core/repeater1900/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 15.14 ^ soc/core/repeater1899/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 15.23 ^ soc/core/repeater1898/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 15.31 ^ soc/core/repeater1897/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 15.40 ^ soc/core/repeater1896/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 15.49 ^ soc/core/repeater1895/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 15.59 ^ soc/core/repeater1894/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 15.69 ^ soc/core/repeater1893/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 15.81 ^ soc/core/repeater1892/X (sky130_fd_sc_hd__clkbuf_1) + 0.13 15.94 ^ soc/core/repeater1891/X (sky130_fd_sc_hd__clkbuf_1) + 0.15 16.09 ^ soc/core/repeater1841/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 16.26 ^ soc/core/repeater1840/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 16.42 ^ soc/core/repeater1839/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 16.59 ^ soc/core/repeater1838/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 16.75 ^ soc/core/repeater1837/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 16.92 ^ soc/core/repeater1836/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 17.10 ^ soc/core/repeater1835/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 17.29 ^ soc/core/repeater1834/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 17.46 ^ soc/core/repeater1833/X (sky130_fd_sc_hd__clkbuf_1) + 0.15 17.61 ^ soc/core/output681/X (sky130_fd_sc_hd__clkbuf_4) + 0.25 17.86 ^ mgmt_buffers/input518/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.17 18.03 v mgmt_buffers/_410_/Y (sky130_fd_sc_hd__inv_2) + 0.09 18.12 ^ mgmt_buffers/mprj_adr_buf[10]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 18.12 ^ mprj/wbs_adr_i[21] (user_project_wrapper) + 18.12 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[22] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.26 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 1.29 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.63 10.95 v soc/core/_33549_/X (sky130_fd_sc_hd__mux2_4) + 0.08 11.03 ^ soc/core/_17681_/Y (sky130_fd_sc_hd__inv_2) + 0.13 11.16 ^ soc/core/repeater1355/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 11.26 ^ soc/core/repeater1354/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 11.37 ^ soc/core/repeater1353/X (sky130_fd_sc_hd__clkbuf_1) + 0.13 11.50 ^ soc/core/repeater1352/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 11.60 ^ soc/core/repeater1351/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 11.68 ^ soc/core/repeater1350/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 11.77 ^ soc/core/repeater1349/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 11.87 ^ soc/core/repeater1348/X (sky130_fd_sc_hd__clkbuf_1) + 0.13 12.00 ^ soc/core/repeater1347/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 12.10 ^ soc/core/repeater1346/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 12.19 ^ soc/core/repeater1345/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 12.28 ^ soc/core/repeater1344/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 12.36 ^ soc/core/repeater1343/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 12.45 ^ soc/core/repeater1342/X (sky130_fd_sc_hd__clkbuf_1) + 0.13 12.58 ^ soc/core/repeater1341/X (sky130_fd_sc_hd__clkbuf_1) + 0.13 12.70 ^ soc/core/repeater1340/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 12.81 ^ soc/core/repeater1339/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 12.91 ^ soc/core/repeater1338/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 12.99 ^ soc/core/repeater1337/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 13.11 ^ soc/core/repeater1336/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 13.21 ^ soc/core/repeater1335/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 13.29 ^ soc/core/repeater1334/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 13.38 ^ soc/core/repeater1333/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 13.46 ^ soc/core/repeater1332/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 13.58 ^ soc/core/repeater1331/X (sky130_fd_sc_hd__clkbuf_1) + 0.13 13.71 ^ soc/core/repeater1330/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 13.81 ^ soc/core/repeater1329/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 13.93 ^ soc/core/repeater1328/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 14.06 ^ soc/core/repeater1327/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 14.16 ^ soc/core/repeater1326/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 14.26 ^ soc/core/repeater1325/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 14.37 ^ soc/core/repeater1324/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 14.47 ^ soc/core/repeater1323/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 14.56 ^ soc/core/repeater1322/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 14.64 ^ soc/core/repeater1321/X (sky130_fd_sc_hd__clkbuf_1) + 0.13 14.77 ^ soc/core/repeater1320/X (sky130_fd_sc_hd__clkbuf_1) + 0.13 14.90 ^ soc/core/repeater1319/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 15.07 ^ soc/core/repeater1318/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 15.23 ^ soc/core/repeater1317/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 15.41 ^ soc/core/repeater1316/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 15.59 ^ soc/core/repeater1315/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 15.75 ^ soc/core/repeater1314/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 15.87 ^ soc/core/repeater1313/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 16.03 ^ soc/core/repeater1312/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 16.21 ^ soc/core/repeater1311/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 16.37 ^ soc/core/repeater1310/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 16.55 ^ soc/core/repeater1309/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 16.67 ^ soc/core/_32529_/X (sky130_fd_sc_hd__clkbuf_1) + 0.13 16.80 ^ soc/core/output710/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 16.97 ^ mgmt_buffers/input548/X (sky130_fd_sc_hd__clkbuf_2) + 0.13 17.10 v mgmt_buffers/_409_/Y (sky130_fd_sc_hd__inv_8) + 0.10 17.20 ^ mgmt_buffers/mprj_adr_buf[9]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 17.20 ^ mprj/wbs_adr_i[22] (user_project_wrapper) + 17.20 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[27] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.26 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 1.29 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.79 11.12 v soc/core/_33554_/X (sky130_fd_sc_hd__mux2_8) + 0.13 11.25 ^ soc/core/_17321_/Y (sky130_fd_sc_hd__inv_2) + 0.10 11.35 ^ soc/core/repeater1831/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 11.44 ^ soc/core/repeater1830/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 11.54 ^ soc/core/repeater1829/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 11.64 ^ soc/core/repeater1828/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 11.73 ^ soc/core/repeater1827/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 11.83 ^ soc/core/repeater1826/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 11.93 ^ soc/core/repeater1825/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 12.03 ^ soc/core/repeater1824/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 12.13 ^ soc/core/repeater1823/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 12.24 ^ soc/core/repeater1822/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 12.35 ^ soc/core/repeater1820/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 12.44 ^ soc/core/repeater1819/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 12.53 ^ soc/core/repeater1818/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 12.62 ^ soc/core/repeater1817/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 12.72 ^ soc/core/repeater1816/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 12.82 ^ soc/core/repeater1815/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 12.91 ^ soc/core/repeater1814/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 13.00 ^ soc/core/repeater1813/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 13.09 ^ soc/core/repeater1812/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 13.19 ^ soc/core/repeater1811/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 13.29 ^ soc/core/repeater1810/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 13.48 ^ soc/core/repeater1809/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.20 13.68 ^ soc/core/repeater1808/X (sky130_fd_sc_hd__clkbuf_1) + 0.23 13.91 ^ soc/core/repeater1807/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.23 14.14 ^ soc/core/repeater1806/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.22 14.36 ^ soc/core/repeater1805/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.26 14.62 ^ soc/core/repeater1804/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.24 14.87 ^ soc/core/repeater1803/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.12 14.98 ^ soc/core/repeater1802/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 15.07 ^ soc/core/repeater1801/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 15.16 ^ soc/core/repeater1800/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 15.25 ^ soc/core/repeater1799/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 15.35 ^ soc/core/repeater1798/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 15.44 ^ soc/core/repeater1797/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 15.53 ^ soc/core/repeater1796/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 15.62 ^ soc/core/repeater1795/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 15.70 ^ soc/core/repeater1794/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 15.79 ^ soc/core/repeater1793/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 15.88 ^ soc/core/repeater1792/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 15.97 ^ soc/core/repeater1791/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 16.06 ^ soc/core/repeater1790/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 16.15 ^ soc/core/repeater1789/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 16.23 ^ soc/core/repeater1788/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 16.32 ^ soc/core/repeater1787/X (sky130_fd_sc_hd__clkbuf_1) + 0.14 16.45 ^ soc/core/_32524_/X (sky130_fd_sc_hd__clkbuf_2) + 0.16 16.61 ^ soc/core/output705/X (sky130_fd_sc_hd__clkbuf_4) + 0.25 16.86 ^ mgmt_buffers/input543/X (sky130_fd_sc_hd__buf_12) + 0.19 17.05 v mgmt_buffers/_404_/Y (sky130_fd_sc_hd__inv_2) + 0.08 17.13 ^ mgmt_buffers/mprj_adr_buf[4]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 17.13 ^ mprj/wbs_adr_i[27] (user_project_wrapper) + 17.13 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[23] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.26 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 1.29 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.64 10.96 v soc/core/_33550_/X (sky130_fd_sc_hd__mux2_4) + 0.08 11.05 ^ soc/core/_17682_/Y (sky130_fd_sc_hd__inv_2) + 0.14 11.18 ^ soc/core/repeater1242/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 11.35 ^ soc/core/repeater1241/X (sky130_fd_sc_hd__clkbuf_1) + 0.15 11.49 ^ soc/core/repeater1240/X (sky130_fd_sc_hd__clkbuf_1) + 0.13 11.62 ^ soc/core/repeater1239/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 11.72 ^ soc/core/repeater1238/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 11.83 ^ soc/core/repeater1237/X (sky130_fd_sc_hd__clkbuf_1) + 0.13 11.96 ^ soc/core/repeater1236/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 12.07 ^ soc/core/repeater1235/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 12.17 ^ soc/core/repeater1234/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 12.27 ^ soc/core/repeater1233/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 12.37 ^ soc/core/repeater1232/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 12.45 ^ soc/core/repeater1231/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 12.57 ^ soc/core/repeater1230/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 12.66 ^ soc/core/repeater1229/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 12.74 ^ soc/core/repeater1228/X (sky130_fd_sc_hd__clkbuf_1) + 0.13 12.87 ^ soc/core/repeater1227/X (sky130_fd_sc_hd__clkbuf_1) + 0.13 12.99 ^ soc/core/repeater1226/X (sky130_fd_sc_hd__clkbuf_1) + 0.13 13.13 ^ soc/core/repeater1225/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 13.23 ^ soc/core/repeater1224/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 13.32 ^ soc/core/repeater1223/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 13.41 ^ soc/core/repeater1222/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 13.51 ^ soc/core/repeater1221/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 13.61 ^ soc/core/repeater1220/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 13.70 ^ soc/core/repeater1219/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 13.79 ^ soc/core/repeater1218/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 13.88 ^ soc/core/repeater1217/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 13.97 ^ soc/core/repeater1216/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 14.07 ^ soc/core/repeater1215/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 14.16 ^ soc/core/repeater1214/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 14.32 ^ soc/core/repeater1213/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 14.50 ^ soc/core/repeater1211/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 14.68 ^ soc/core/repeater1210/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 14.85 ^ soc/core/repeater1209/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 15.03 ^ soc/core/repeater1208/X (sky130_fd_sc_hd__clkbuf_1) + 0.18 15.21 ^ soc/core/repeater1207/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 15.33 ^ soc/core/repeater1206/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 15.44 ^ soc/core/repeater1205/X (sky130_fd_sc_hd__clkbuf_1) + 0.13 15.58 ^ soc/core/repeater1204/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 15.70 ^ soc/core/repeater1203/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 15.82 ^ soc/core/repeater1202/X (sky130_fd_sc_hd__clkbuf_1) + 0.13 15.95 ^ soc/core/repeater1201/X (sky130_fd_sc_hd__clkbuf_1) + 0.13 16.08 ^ soc/core/repeater1200/X (sky130_fd_sc_hd__clkbuf_1) + 0.15 16.23 ^ soc/core/repeater1199/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 16.33 ^ soc/core/_32528_/X (sky130_fd_sc_hd__clkbuf_1) + 0.13 16.46 ^ soc/core/output709/X (sky130_fd_sc_hd__clkbuf_4) + 0.21 16.67 ^ mgmt_buffers/input547/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 16.83 v mgmt_buffers/_408_/Y (sky130_fd_sc_hd__clkinv_16) + 0.18 17.01 ^ mgmt_buffers/mprj_adr_buf[8]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 17.01 ^ mprj/wbs_adr_i[23] (user_project_wrapper) + 17.01 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[24] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.26 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 1.29 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.78 11.11 v soc/core/_33551_/X (sky130_fd_sc_hd__mux2_8) + 0.10 11.20 ^ soc/core/_19668_/Y (sky130_fd_sc_hd__inv_2) + 0.10 11.30 ^ soc/core/repeater1023/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 11.40 ^ soc/core/repeater1022/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 11.51 ^ soc/core/repeater1021/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 11.60 ^ soc/core/repeater1020/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 11.69 ^ soc/core/repeater1019/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 11.78 ^ soc/core/repeater1018/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 11.88 ^ soc/core/repeater1017/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 11.97 ^ soc/core/repeater1016/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 12.07 ^ soc/core/repeater1015/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 12.16 ^ soc/core/repeater1014/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 12.26 ^ soc/core/repeater1013/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 12.36 ^ soc/core/repeater1012/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 12.45 ^ soc/core/repeater1011/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 12.55 ^ soc/core/repeater1010/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 12.65 ^ soc/core/repeater1009/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 12.76 ^ soc/core/repeater1008/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 12.86 ^ soc/core/repeater1007/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 12.96 ^ soc/core/repeater1006/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 13.05 ^ soc/core/repeater1005/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 13.15 ^ soc/core/repeater1004/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 13.25 ^ soc/core/repeater1003/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 13.35 ^ soc/core/repeater1002/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 13.43 ^ soc/core/repeater1001/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 13.52 ^ soc/core/repeater1000/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 13.62 ^ soc/core/repeater999/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 13.71 ^ soc/core/repeater998/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 13.87 ^ soc/core/repeater997/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 14.04 ^ soc/core/repeater948/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 14.20 ^ soc/core/repeater947/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 14.38 ^ soc/core/repeater946/X (sky130_fd_sc_hd__clkbuf_1) + 0.17 14.55 ^ soc/core/repeater945/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 14.71 ^ soc/core/repeater944/X (sky130_fd_sc_hd__clkbuf_1) + 0.14 14.86 ^ soc/core/repeater943/X (sky130_fd_sc_hd__clkbuf_1) + 0.13 14.99 ^ soc/core/repeater942/X (sky130_fd_sc_hd__clkbuf_1) + 0.14 15.13 ^ soc/core/repeater941/X (sky130_fd_sc_hd__clkbuf_1) + 0.13 15.26 ^ soc/core/repeater940/X (sky130_fd_sc_hd__clkbuf_1) + 0.13 15.39 ^ soc/core/repeater939/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 15.51 ^ soc/core/repeater938/X (sky130_fd_sc_hd__clkbuf_1) + 0.13 15.64 ^ soc/core/repeater937/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 15.76 ^ soc/core/repeater936/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 15.87 ^ soc/core/_32527_/X (sky130_fd_sc_hd__clkbuf_1) + 0.14 16.02 ^ soc/core/output708/X (sky130_fd_sc_hd__clkbuf_4) + 0.18 16.19 ^ mgmt_buffers/input546/X (sky130_fd_sc_hd__clkbuf_2) + 0.12 16.32 v mgmt_buffers/_407_/Y (sky130_fd_sc_hd__inv_8) + 0.14 16.45 ^ mgmt_buffers/mprj_adr_buf[7]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 16.45 ^ mprj/wbs_adr_i[24] (user_project_wrapper) + 16.45 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[29] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.26 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 1.29 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.78 11.11 v soc/core/_33556_/X (sky130_fd_sc_hd__mux2_8) + 0.11 11.21 ^ soc/core/_17680_/Y (sky130_fd_sc_hd__inv_2) + 0.09 11.31 ^ soc/core/repeater1465/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 11.40 ^ soc/core/repeater1464/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 11.49 ^ soc/core/repeater1463/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 11.59 ^ soc/core/repeater1462/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 11.70 ^ soc/core/repeater1461/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 11.79 ^ soc/core/repeater1460/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 11.88 ^ soc/core/repeater1459/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 11.97 ^ soc/core/repeater1458/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 12.06 ^ soc/core/repeater1457/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 12.15 ^ soc/core/repeater1456/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 12.24 ^ soc/core/repeater1455/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 12.33 ^ soc/core/repeater1454/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 12.42 ^ soc/core/repeater1453/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 12.54 ^ soc/core/repeater1452/X (sky130_fd_sc_hd__clkbuf_1) + 0.13 12.67 ^ soc/core/repeater1450/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 12.79 ^ soc/core/repeater1449/X (sky130_fd_sc_hd__clkbuf_1) + 0.13 12.92 ^ soc/core/repeater1448/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 13.04 ^ soc/core/repeater1447/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 13.16 ^ soc/core/repeater1446/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 13.27 ^ soc/core/repeater1445/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 13.38 ^ soc/core/repeater1444/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 13.49 ^ soc/core/repeater1443/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 13.61 ^ soc/core/repeater1442/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 13.73 ^ soc/core/repeater1441/X (sky130_fd_sc_hd__clkbuf_1) + 0.13 13.86 ^ soc/core/repeater1440/X (sky130_fd_sc_hd__clkbuf_1) + 0.14 14.00 ^ soc/core/repeater1439/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 14.10 ^ soc/core/repeater1438/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 14.20 ^ soc/core/repeater1437/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 14.31 ^ soc/core/repeater1436/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 14.43 ^ soc/core/repeater1435/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 14.55 ^ soc/core/repeater1434/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 14.66 ^ soc/core/repeater1433/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 14.78 ^ soc/core/repeater1432/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 14.88 ^ soc/core/repeater1431/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 14.98 ^ soc/core/_32522_/X (sky130_fd_sc_hd__clkbuf_1) + 0.15 15.13 ^ soc/core/output701/X (sky130_fd_sc_hd__clkbuf_4) + 0.24 15.37 ^ mgmt_buffers/input539/X (sky130_fd_sc_hd__buf_12) + 0.18 15.55 v mgmt_buffers/_402_/Y (sky130_fd_sc_hd__inv_2) + 0.08 15.63 ^ mgmt_buffers/mprj_adr_buf[2]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.63 ^ mprj/wbs_adr_i[29] (user_project_wrapper) + 15.63 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[26] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.26 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 1.29 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.82 11.15 v soc/core/_33553_/X (sky130_fd_sc_hd__mux2_8) + 0.21 11.36 ^ soc/core/_17322_/Y (sky130_fd_sc_hd__inv_2) + 0.09 11.45 ^ soc/core/repeater1633/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 11.54 ^ soc/core/repeater1632/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 11.63 ^ soc/core/repeater1631/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 11.73 ^ soc/core/repeater1630/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 11.84 ^ soc/core/repeater1629/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 11.93 ^ soc/core/repeater1628/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 12.01 ^ soc/core/repeater1627/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 12.10 ^ soc/core/repeater1626/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 12.18 ^ soc/core/repeater1625/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 12.27 ^ soc/core/repeater1624/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 12.37 ^ soc/core/repeater1623/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 12.46 ^ soc/core/repeater1622/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 12.55 ^ soc/core/repeater1621/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 12.64 ^ soc/core/repeater1620/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 12.76 ^ soc/core/repeater1619/X (sky130_fd_sc_hd__clkbuf_1) + 0.24 13.00 ^ soc/core/repeater1617/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.26 13.26 ^ soc/core/repeater1616/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.26 13.52 ^ soc/core/repeater1615/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.25 13.77 ^ soc/core/repeater1614/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.13 13.90 ^ soc/core/repeater1613/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 14.01 ^ soc/core/repeater1612/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 14.13 ^ soc/core/repeater1611/X (sky130_fd_sc_hd__clkbuf_1) + 0.13 14.26 ^ soc/core/repeater1610/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 14.38 ^ soc/core/repeater1609/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 14.51 ^ soc/core/repeater1608/X (sky130_fd_sc_hd__clkbuf_1) + 0.13 14.63 ^ soc/core/repeater1607/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 14.76 ^ soc/core/repeater1606/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 14.86 ^ soc/core/_32525_/X (sky130_fd_sc_hd__clkbuf_1) + 0.14 14.99 ^ soc/core/output706/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 15.19 ^ mgmt_buffers/input544/X (sky130_fd_sc_hd__clkbuf_4) + 0.09 15.28 v mgmt_buffers/_405_/Y (sky130_fd_sc_hd__inv_16) + 0.17 15.46 ^ mgmt_buffers/mprj_adr_buf[5]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.46 ^ mprj/wbs_adr_i[26] (user_project_wrapper) + 15.46 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[25] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.26 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 1.29 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.76 11.09 v soc/core/_33552_/X (sky130_fd_sc_hd__mux2_8) + 0.12 11.21 ^ soc/core/_19671_/Y (sky130_fd_sc_hd__inv_2) + 0.09 11.31 ^ soc/core/repeater935/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 11.41 ^ soc/core/repeater934/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 11.50 ^ soc/core/repeater933/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 11.60 ^ soc/core/repeater932/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 11.70 ^ soc/core/repeater931/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 11.79 ^ soc/core/repeater930/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 11.88 ^ soc/core/repeater929/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 11.97 ^ soc/core/repeater928/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 12.06 ^ soc/core/repeater927/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 12.16 ^ soc/core/repeater926/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 12.25 ^ soc/core/repeater925/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 12.34 ^ soc/core/repeater924/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 12.42 ^ soc/core/repeater923/X (sky130_fd_sc_hd__clkbuf_1) + 0.19 12.61 ^ soc/core/repeater922/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.19 12.80 ^ soc/core/repeater921/X (sky130_fd_sc_hd__clkbuf_2) + 0.18 12.98 ^ soc/core/repeater879/X (sky130_fd_sc_hd__clkbuf_2) + 0.19 13.17 ^ soc/core/repeater878/X (sky130_fd_sc_hd__clkbuf_2) + 0.21 13.38 ^ soc/core/repeater877/X (sky130_fd_sc_hd__clkbuf_2) + 0.22 13.59 ^ soc/core/repeater876/X (sky130_fd_sc_hd__clkbuf_2) + 0.21 13.80 ^ soc/core/repeater875/X (sky130_fd_sc_hd__clkbuf_2) + 0.14 13.94 ^ soc/core/repeater874/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 14.06 ^ soc/core/repeater873/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 14.19 ^ soc/core/repeater872/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 14.31 ^ soc/core/repeater871/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 14.43 ^ soc/core/repeater870/X (sky130_fd_sc_hd__clkbuf_1) + 0.13 14.56 ^ soc/core/repeater869/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 14.68 ^ soc/core/repeater868/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 14.78 ^ soc/core/_32526_/X (sky130_fd_sc_hd__clkbuf_1) + 0.13 14.91 ^ soc/core/output707/X (sky130_fd_sc_hd__clkbuf_4) + 0.19 15.10 ^ mgmt_buffers/input545/X (sky130_fd_sc_hd__clkbuf_2) + 0.17 15.26 v mgmt_buffers/_406_/Y (sky130_fd_sc_hd__clkinv_8) + 0.11 15.37 ^ mgmt_buffers/mprj_adr_buf[6]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.37 ^ mprj/wbs_adr_i[25] (user_project_wrapper) + 15.37 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[28] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.26 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 1.29 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.79 11.12 v soc/core/_33555_/X (sky130_fd_sc_hd__mux2_8) + 0.09 11.22 ^ soc/core/_17829_/Y (sky130_fd_sc_hd__inv_2) + 0.09 11.31 ^ soc/core/repeater1132/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 11.40 ^ soc/core/repeater1131/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 11.50 ^ soc/core/repeater1130/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 11.59 ^ soc/core/repeater1129/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 11.68 ^ soc/core/repeater1128/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 11.76 ^ soc/core/repeater1127/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 11.85 ^ soc/core/repeater1126/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 11.94 ^ soc/core/repeater1125/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 12.03 ^ soc/core/repeater1124/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 12.13 ^ soc/core/repeater1123/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 12.22 ^ soc/core/repeater1122/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 12.31 ^ soc/core/repeater1121/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 12.40 ^ soc/core/repeater1120/X (sky130_fd_sc_hd__clkbuf_1) + 0.08 12.48 ^ soc/core/repeater1119/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 12.59 ^ soc/core/repeater1118/X (sky130_fd_sc_hd__clkbuf_1) + 0.11 12.71 ^ soc/core/repeater1116/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 12.83 ^ soc/core/repeater1115/X (sky130_fd_sc_hd__clkbuf_1) + 0.13 12.96 ^ soc/core/repeater1114/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 13.08 ^ soc/core/repeater1113/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 13.21 ^ soc/core/repeater1112/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 13.33 ^ soc/core/repeater1111/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 13.45 ^ soc/core/repeater1110/X (sky130_fd_sc_hd__clkbuf_1) + 0.14 13.58 ^ soc/core/repeater1109/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 13.70 ^ soc/core/repeater1108/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 13.82 ^ soc/core/repeater1107/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 13.94 ^ soc/core/repeater1106/X (sky130_fd_sc_hd__clkbuf_1) + 0.13 14.07 ^ soc/core/repeater1105/X (sky130_fd_sc_hd__clkbuf_1) + 0.13 14.20 ^ soc/core/repeater1104/X (sky130_fd_sc_hd__clkbuf_1) + 0.13 14.33 ^ soc/core/repeater1103/X (sky130_fd_sc_hd__clkbuf_1) + 0.12 14.44 ^ soc/core/repeater1102/X (sky130_fd_sc_hd__clkbuf_1) + 0.10 14.54 ^ soc/core/_32523_/X (sky130_fd_sc_hd__clkbuf_1) + 0.13 14.67 ^ soc/core/output704/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 14.87 ^ mgmt_buffers/input542/X (sky130_fd_sc_hd__buf_2) + 0.11 14.98 v mgmt_buffers/_403_/Y (sky130_fd_sc_hd__inv_12) + 0.15 15.13 ^ mgmt_buffers/mprj_adr_buf[3]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 15.13 ^ mprj/wbs_adr_i[28] (user_project_wrapper) + 15.13 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[20] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.26 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 1.29 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.77 11.10 v soc/core/_33565_/X (sky130_fd_sc_hd__mux2_8) + 0.38 11.49 ^ soc/core/_17336_/Y (sky130_fd_sc_hd__inv_2) + 0.25 11.73 ^ soc/core/_17375_/X (sky130_fd_sc_hd__buf_12) + 0.35 12.09 ^ soc/core/output682/X (sky130_fd_sc_hd__clkbuf_4) + 0.18 12.26 ^ mgmt_buffers/input519/X (sky130_fd_sc_hd__clkbuf_1) + 0.13 12.39 v mgmt_buffers/_411_/Y (sky130_fd_sc_hd__inv_4) + 0.10 12.50 ^ mgmt_buffers/mprj_adr_buf[11]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 12.50 ^ mprj/wbs_adr_i[20] (user_project_wrapper) + 12.50 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[19] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.26 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 1.29 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.96 11.29 ^ soc/core/_32605_/X (sky130_fd_sc_hd__mux2_8) + 0.43 11.72 ^ soc/core/output683/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 11.92 ^ mgmt_buffers/input520/X (sky130_fd_sc_hd__buf_2) + 0.10 12.02 v mgmt_buffers/_412_/Y (sky130_fd_sc_hd__inv_12) + 0.15 12.17 ^ mgmt_buffers/mprj_adr_buf[12]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 12.17 ^ mprj/wbs_adr_i[19] (user_project_wrapper) + 12.17 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[16] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.26 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 1.29 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.84 11.17 ^ soc/core/_33557_/X (sky130_fd_sc_hd__mux2_8) + 0.30 11.47 v soc/core/_18847_/Y (sky130_fd_sc_hd__clkinv_16) + 0.23 11.70 v soc/core/output686/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 11.87 v mgmt_buffers/input523/X (sky130_fd_sc_hd__buf_2) + 0.18 12.05 ^ mgmt_buffers/_415_/Y (sky130_fd_sc_hd__inv_12) + 0.11 12.16 v mgmt_buffers/mprj_adr_buf[15]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 12.16 v mprj/wbs_adr_i[16] (user_project_wrapper) + 12.16 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[7] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.26 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 1.29 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.68 11.01 v soc/core/_33572_/X (sky130_fd_sc_hd__mux2_8) + 0.21 11.23 ^ soc/core/_24051_/Y (sky130_fd_sc_hd__inv_8) + 0.23 11.46 ^ soc/core/output695/X (sky130_fd_sc_hd__clkbuf_4) + 0.19 11.65 ^ mgmt_buffers/input533/X (sky130_fd_sc_hd__buf_4) + 0.14 11.79 v mgmt_buffers/_424_/Y (sky130_fd_sc_hd__clkinv_16) + 0.18 11.97 ^ mgmt_buffers/mprj_adr_buf[24]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 11.97 ^ mprj/wbs_adr_i[7] (user_project_wrapper) + 11.97 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[18] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.26 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 1.29 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.72 11.05 ^ soc/core/_33559_/X (sky130_fd_sc_hd__mux2_8) + 0.23 11.28 v soc/core/_17887_/Y (sky130_fd_sc_hd__clkinv_16) + 0.23 11.51 v soc/core/output684/X (sky130_fd_sc_hd__clkbuf_4) + 0.19 11.70 v mgmt_buffers/input521/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.18 11.88 ^ mgmt_buffers/_413_/Y (sky130_fd_sc_hd__inv_6) + 0.08 11.95 v mgmt_buffers/mprj_adr_buf[13]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 11.95 v mprj/wbs_adr_i[18] (user_project_wrapper) + 11.95 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[1] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.26 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 1.29 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.72 11.05 v soc/core/_33574_/X (sky130_fd_sc_hd__mux2_8) + 0.18 11.24 ^ soc/core/_30966_/Y (sky130_fd_sc_hd__inv_6) + 0.20 11.44 ^ soc/core/output702/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 11.64 ^ mgmt_buffers/input540/X (sky130_fd_sc_hd__buf_2) + 0.16 11.80 v mgmt_buffers/_430_/Y (sky130_fd_sc_hd__clkinv_8) + 0.15 11.95 ^ mgmt_buffers/mprj_adr_buf[30]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 11.95 ^ mprj/wbs_adr_i[1] (user_project_wrapper) + 11.95 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[17] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.26 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 1.29 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.73 11.06 v soc/core/_33558_/X (sky130_fd_sc_hd__mux2_8) + 0.23 11.30 ^ soc/core/_27468_/Y (sky130_fd_sc_hd__clkinv_8) + 0.18 11.48 ^ soc/core/output685/X (sky130_fd_sc_hd__clkbuf_4) + 0.18 11.66 ^ mgmt_buffers/input522/X (sky130_fd_sc_hd__clkbuf_2) + 0.16 11.82 v mgmt_buffers/_414_/Y (sky130_fd_sc_hd__clkinv_8) + 0.10 11.92 ^ mgmt_buffers/mprj_adr_buf[14]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 11.92 ^ mprj/wbs_adr_i[17] (user_project_wrapper) + 11.92 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[3] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.26 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 1.29 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.71 11.04 v soc/core/_33576_/X (sky130_fd_sc_hd__mux2_8) + 0.18 11.22 ^ soc/core/_17315_/Y (sky130_fd_sc_hd__inv_8) + 0.22 11.44 ^ soc/core/output699/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 11.64 ^ mgmt_buffers/input537/X (sky130_fd_sc_hd__buf_2) + 0.14 11.78 v mgmt_buffers/_428_/Y (sky130_fd_sc_hd__inv_8) + 0.10 11.88 ^ mgmt_buffers/mprj_adr_buf[28]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 11.88 ^ mprj/wbs_adr_i[3] (user_project_wrapper) + 11.88 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[4] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.26 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 1.29 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.65 10.98 v soc/core/_33560_/X (sky130_fd_sc_hd__mux2_8) + 0.20 11.18 ^ soc/core/_27390_/Y (sky130_fd_sc_hd__inv_8) + 0.19 11.38 ^ soc/core/output698/X (sky130_fd_sc_hd__clkbuf_4) + 0.21 11.59 ^ mgmt_buffers/input536/X (sky130_fd_sc_hd__buf_2) + 0.15 11.74 v mgmt_buffers/_427_/Y (sky130_fd_sc_hd__clkinv_8) + 0.14 11.87 ^ mgmt_buffers/mprj_adr_buf[27]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 11.87 ^ mprj/wbs_adr_i[4] (user_project_wrapper) + 11.87 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[5] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.26 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 1.29 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.67 11.00 v soc/core/_33566_/X (sky130_fd_sc_hd__mux2_8) + 0.20 11.20 ^ soc/core/_17312_/Y (sky130_fd_sc_hd__inv_12) + 0.22 11.41 ^ soc/core/output697/X (sky130_fd_sc_hd__clkbuf_4) + 0.21 11.62 ^ mgmt_buffers/input535/X (sky130_fd_sc_hd__clkbuf_4) + 0.12 11.74 v mgmt_buffers/_426_/Y (sky130_fd_sc_hd__inv_12) + 0.13 11.87 ^ mgmt_buffers/mprj_adr_buf[26]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 11.87 ^ mprj/wbs_adr_i[5] (user_project_wrapper) + 11.87 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[2] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.26 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 1.29 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.72 11.05 v soc/core/_33575_/X (sky130_fd_sc_hd__mux2_8) + 0.17 11.22 ^ soc/core/_17316_/Y (sky130_fd_sc_hd__inv_12) + 0.21 11.42 ^ soc/core/output700/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 11.62 ^ mgmt_buffers/input538/X (sky130_fd_sc_hd__buf_2) + 0.14 11.76 v mgmt_buffers/_429_/Y (sky130_fd_sc_hd__inv_8) + 0.10 11.86 ^ mgmt_buffers/mprj_adr_buf[29]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 11.86 ^ mprj/wbs_adr_i[2] (user_project_wrapper) + 11.86 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[6] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.26 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 1.29 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.68 11.01 v soc/core/_33567_/X (sky130_fd_sc_hd__mux2_8) + 0.21 11.22 ^ soc/core/_24196_/Y (sky130_fd_sc_hd__inv_12) + 0.20 11.42 ^ soc/core/output696/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 11.62 ^ mgmt_buffers/input534/X (sky130_fd_sc_hd__clkbuf_4) + 0.12 11.73 v mgmt_buffers/_425_/Y (sky130_fd_sc_hd__inv_12) + 0.12 11.85 ^ mgmt_buffers/mprj_adr_buf[25]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 11.85 ^ mprj/wbs_adr_i[6] (user_project_wrapper) + 11.85 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[15] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.26 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 1.29 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.67 11.00 v soc/core/_33571_/X (sky130_fd_sc_hd__mux2_8) + 0.13 11.13 ^ soc/core/_19650_/Y (sky130_fd_sc_hd__clkinv_8) + 0.20 11.33 ^ soc/core/output687/X (sky130_fd_sc_hd__clkbuf_4) + 0.19 11.52 ^ mgmt_buffers/input524/X (sky130_fd_sc_hd__buf_2) + 0.11 11.63 v mgmt_buffers/_416_/Y (sky130_fd_sc_hd__inv_12) + 0.15 11.79 ^ mgmt_buffers/mprj_adr_buf[16]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 11.79 ^ mprj/wbs_adr_i[15] (user_project_wrapper) + 11.79 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[0] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.26 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 1.29 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.67 11.00 ^ soc/core/_33573_/X (sky130_fd_sc_hd__mux2_8) + 0.15 11.15 v soc/core/_27355_/Y (sky130_fd_sc_hd__clkinv_8) + 0.23 11.38 v soc/core/output703/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 11.53 v mgmt_buffers/input541/X (sky130_fd_sc_hd__clkbuf_2) + 0.20 11.73 ^ mgmt_buffers/_431_/Y (sky130_fd_sc_hd__inv_8) + 0.05 11.79 v mgmt_buffers/mprj_adr_buf[31]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 11.79 v mprj/wbs_adr_i[0] (user_project_wrapper) + 11.79 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[12] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.26 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 1.29 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.60 10.93 v soc/core/_33569_/X (sky130_fd_sc_hd__mux2_8) + 0.20 11.12 ^ soc/core/_19652_/Y (sky130_fd_sc_hd__inv_8) + 0.19 11.32 ^ soc/core/output690/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 11.51 ^ mgmt_buffers/input527/X (sky130_fd_sc_hd__clkbuf_4) + 0.09 11.61 v mgmt_buffers/_419_/Y (sky130_fd_sc_hd__inv_16) + 0.16 11.76 ^ mgmt_buffers/mprj_adr_buf[19]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 11.76 ^ mprj/wbs_adr_i[12] (user_project_wrapper) + 11.76 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[11] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.26 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 1.29 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.60 10.93 v soc/core/_33563_/X (sky130_fd_sc_hd__mux2_8) + 0.18 11.11 ^ soc/core/_27431_/Y (sky130_fd_sc_hd__inv_8) + 0.18 11.29 ^ soc/core/output691/X (sky130_fd_sc_hd__clkbuf_4) + 0.18 11.47 ^ mgmt_buffers/input529/X (sky130_fd_sc_hd__clkbuf_2) + 0.18 11.64 v mgmt_buffers/_420_/Y (sky130_fd_sc_hd__clkinv_8) + 0.10 11.75 ^ mgmt_buffers/mprj_adr_buf[20]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 11.75 ^ mprj/wbs_adr_i[11] (user_project_wrapper) + 11.75 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[13] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.26 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 1.29 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.58 10.91 v soc/core/_33568_/X (sky130_fd_sc_hd__mux2_8) + 0.20 11.11 ^ soc/core/_19651_/Y (sky130_fd_sc_hd__inv_8) + 0.19 11.30 ^ soc/core/output689/X (sky130_fd_sc_hd__clkbuf_4) + 0.19 11.49 ^ mgmt_buffers/input526/X (sky130_fd_sc_hd__buf_2) + 0.12 11.61 v mgmt_buffers/_418_/Y (sky130_fd_sc_hd__inv_12) + 0.12 11.73 ^ mgmt_buffers/mprj_adr_buf[18]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 11.73 ^ mprj/wbs_adr_i[13] (user_project_wrapper) + 11.73 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[14] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.26 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 1.29 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.66 10.99 v soc/core/_33570_/X (sky130_fd_sc_hd__mux2_8) + 0.19 11.18 ^ soc/core/_19649_/Y (sky130_fd_sc_hd__inv_8) + 0.19 11.37 ^ soc/core/output688/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 11.52 ^ mgmt_buffers/input525/X (sky130_fd_sc_hd__clkbuf_2) + 0.12 11.64 v mgmt_buffers/_417_/Y (sky130_fd_sc_hd__inv_6) + 0.09 11.73 ^ mgmt_buffers/mprj_adr_buf[17]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 11.73 ^ mprj/wbs_adr_i[14] (user_project_wrapper) + 11.73 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[8] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.26 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 1.29 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.58 10.91 v soc/core/_33561_/X (sky130_fd_sc_hd__mux2_4) + 0.19 11.10 ^ soc/core/_27412_/Y (sky130_fd_sc_hd__inv_6) + 0.21 11.30 ^ soc/core/output694/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 11.47 ^ mgmt_buffers/input532/X (sky130_fd_sc_hd__clkbuf_2) + 0.09 11.56 v mgmt_buffers/_423_/Y (sky130_fd_sc_hd__inv_8) + 0.14 11.70 ^ mgmt_buffers/mprj_adr_buf[23]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 11.70 ^ mprj/wbs_adr_i[8] (user_project_wrapper) + 11.70 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[10] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.26 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 1.29 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.64 10.97 v soc/core/_32606_/X (sky130_fd_sc_hd__mux2_8) + 0.21 11.17 v soc/core/output692/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 11.35 v mgmt_buffers/input530/X (sky130_fd_sc_hd__buf_2) + 0.21 11.56 ^ mgmt_buffers/_421_/Y (sky130_fd_sc_hd__inv_12) + 0.09 11.65 v mgmt_buffers/mprj_adr_buf[21]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 11.65 v mprj/wbs_adr_i[10] (user_project_wrapper) + 11.65 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38355_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/wbs_adr_i[9] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.92 ^ soc/core/_38355_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.44 8.36 ^ soc/core/_38355_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.24 8.60 v soc/core/_16979_/X (sky130_fd_sc_hd__and2b_1) + 0.18 8.78 v soc/core/_16980_/X (sky130_fd_sc_hd__buf_12) + 0.26 9.04 v soc/core/_30651_/X (sky130_fd_sc_hd__buf_12) + 1.29 10.33 ^ soc/core/_31545_/Y (sky130_fd_sc_hd__nor2_8) + 0.59 10.92 v soc/core/_33562_/X (sky130_fd_sc_hd__mux2_8) + 0.17 11.09 ^ soc/core/_27418_/Y (sky130_fd_sc_hd__inv_8) + 0.18 11.27 ^ soc/core/output693/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 11.43 ^ mgmt_buffers/input531/X (sky130_fd_sc_hd__clkbuf_2) + 0.09 11.51 v mgmt_buffers/_422_/Y (sky130_fd_sc_hd__inv_6) + 0.10 11.61 ^ mgmt_buffers/mprj_adr_buf[22]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 11.61 ^ mprj/wbs_adr_i[9] (user_project_wrapper) + 11.61 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_bidir_2[2]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[0] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_bidir_2[2]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.58 0.58 v gpio_control_bidir_2[2]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.13 0.71 v gpio_control_bidir_2[2]/output29/X (sky130_fd_sc_hd__buf_2) + 5.13 5.84 ^ padframe/mprj_pads.area2_io_pad[18]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.18 6.01 ^ gpio_control_bidir_2[2]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 6.11 v gpio_control_bidir_2[2]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.06 6.17 ^ gpio_control_bidir_2[2]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.17 ^ mprj/io_in[0] (user_project_wrapper) + 6.17 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_2[8]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[10] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_2[8]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.58 0.58 v gpio_control_in_2[8]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.13 0.71 v gpio_control_in_2[8]/output29/X (sky130_fd_sc_hd__buf_2) + 5.13 5.84 ^ padframe/mprj_pads.area2_io_pad[8]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.18 6.01 ^ gpio_control_in_2[8]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 6.11 v gpio_control_in_2[8]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.06 6.17 ^ gpio_control_in_2[8]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.17 ^ mprj/io_in[10] (user_project_wrapper) + 6.17 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_2[7]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[11] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_2[7]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.58 0.58 v gpio_control_in_2[7]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.13 0.71 v gpio_control_in_2[7]/output29/X (sky130_fd_sc_hd__buf_2) + 5.13 5.84 ^ padframe/mprj_pads.area2_io_pad[7]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.18 6.01 ^ gpio_control_in_2[7]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 6.11 v gpio_control_in_2[7]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.06 6.17 ^ gpio_control_in_2[7]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.17 ^ mprj/io_in[11] (user_project_wrapper) + 6.17 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_2[6]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[12] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_2[6]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.58 0.58 v gpio_control_in_2[6]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.13 0.71 v gpio_control_in_2[6]/output29/X (sky130_fd_sc_hd__buf_2) + 5.13 5.84 ^ padframe/mprj_pads.area2_io_pad[6]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.18 6.01 ^ gpio_control_in_2[6]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 6.11 v gpio_control_in_2[6]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.06 6.17 ^ gpio_control_in_2[6]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.17 ^ mprj/io_in[12] (user_project_wrapper) + 6.17 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_2[5]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[13] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_2[5]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.58 0.58 v gpio_control_in_2[5]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.13 0.71 v gpio_control_in_2[5]/output29/X (sky130_fd_sc_hd__buf_2) + 5.13 5.84 ^ padframe/mprj_pads.area2_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.18 6.01 ^ gpio_control_in_2[5]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 6.11 v gpio_control_in_2[5]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.06 6.17 ^ gpio_control_in_2[5]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.17 ^ mprj/io_in[13] (user_project_wrapper) + 6.17 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_2[4]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[14] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_2[4]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.58 0.58 v gpio_control_in_2[4]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.13 0.71 v gpio_control_in_2[4]/output29/X (sky130_fd_sc_hd__buf_2) + 5.13 5.84 ^ padframe/mprj_pads.area2_io_pad[4]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.18 6.01 ^ gpio_control_in_2[4]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 6.11 v gpio_control_in_2[4]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.06 6.17 ^ gpio_control_in_2[4]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.17 ^ mprj/io_in[14] (user_project_wrapper) + 6.17 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_2[3]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[15] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_2[3]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.58 0.58 v gpio_control_in_2[3]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.13 0.71 v gpio_control_in_2[3]/output29/X (sky130_fd_sc_hd__buf_2) + 5.13 5.84 ^ padframe/mprj_pads.area2_io_pad[3]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.18 6.01 ^ gpio_control_in_2[3]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 6.11 v gpio_control_in_2[3]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.06 6.17 ^ gpio_control_in_2[3]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.17 ^ mprj/io_in[15] (user_project_wrapper) + 6.17 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_2[2]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[16] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_2[2]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.58 0.58 v gpio_control_in_2[2]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.13 0.71 v gpio_control_in_2[2]/output29/X (sky130_fd_sc_hd__buf_2) + 5.13 5.84 ^ padframe/mprj_pads.area2_io_pad[2]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.18 6.01 ^ gpio_control_in_2[2]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 6.11 v gpio_control_in_2[2]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.06 6.17 ^ gpio_control_in_2[2]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.17 ^ mprj/io_in[16] (user_project_wrapper) + 6.17 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_2[1]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[17] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_2[1]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.58 0.58 v gpio_control_in_2[1]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.13 0.71 v gpio_control_in_2[1]/output29/X (sky130_fd_sc_hd__buf_2) + 5.13 5.84 ^ padframe/mprj_pads.area2_io_pad[1]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.18 6.01 ^ gpio_control_in_2[1]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 6.11 v gpio_control_in_2[1]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.06 6.17 ^ gpio_control_in_2[1]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.17 ^ mprj/io_in[17] (user_project_wrapper) + 6.17 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_2[0]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[18] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_2[0]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.58 0.58 v gpio_control_in_2[0]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.13 0.71 v gpio_control_in_2[0]/output29/X (sky130_fd_sc_hd__buf_2) + 5.13 5.84 ^ padframe/mprj_pads.area2_io_pad[0]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.18 6.01 ^ gpio_control_in_2[0]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 6.11 v gpio_control_in_2[0]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.06 6.17 ^ gpio_control_in_2[0]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.17 ^ mprj/io_in[18] (user_project_wrapper) + 6.17 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1[10]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[19] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1[10]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.58 0.58 v gpio_control_in_1[10]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.13 0.71 v gpio_control_in_1[10]/output29/X (sky130_fd_sc_hd__buf_2) + 5.13 5.84 ^ padframe/mprj_pads.area1_io_pad[18]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.18 6.01 ^ gpio_control_in_1[10]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 6.11 v gpio_control_in_1[10]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.06 6.17 ^ gpio_control_in_1[10]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.17 ^ mprj/io_in[19] (user_project_wrapper) + 6.17 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_bidir_2[1]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[1] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_bidir_2[1]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.58 0.58 v gpio_control_bidir_2[1]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.13 0.71 v gpio_control_bidir_2[1]/output29/X (sky130_fd_sc_hd__buf_2) + 5.13 5.84 ^ padframe/mprj_pads.area2_io_pad[17]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.18 6.01 ^ gpio_control_bidir_2[1]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 6.11 v gpio_control_bidir_2[1]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.06 6.17 ^ gpio_control_bidir_2[1]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.17 ^ mprj/io_in[1] (user_project_wrapper) + 6.17 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1[9]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[20] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1[9]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.58 0.58 v gpio_control_in_1[9]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.13 0.71 v gpio_control_in_1[9]/output29/X (sky130_fd_sc_hd__buf_2) + 5.13 5.84 ^ padframe/mprj_pads.area1_io_pad[17]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.18 6.01 ^ gpio_control_in_1[9]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 6.11 v gpio_control_in_1[9]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.06 6.17 ^ gpio_control_in_1[9]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.17 ^ mprj/io_in[20] (user_project_wrapper) + 6.17 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1[8]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[21] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1[8]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.58 0.58 v gpio_control_in_1[8]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.13 0.71 v gpio_control_in_1[8]/output29/X (sky130_fd_sc_hd__buf_2) + 5.13 5.84 ^ padframe/mprj_pads.area1_io_pad[16]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.18 6.01 ^ gpio_control_in_1[8]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 6.11 v gpio_control_in_1[8]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.06 6.17 ^ gpio_control_in_1[8]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.17 ^ mprj/io_in[21] (user_project_wrapper) + 6.17 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1[7]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[22] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1[7]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.58 0.58 v gpio_control_in_1[7]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.13 0.71 v gpio_control_in_1[7]/output29/X (sky130_fd_sc_hd__buf_2) + 5.13 5.84 ^ padframe/mprj_pads.area1_io_pad[15]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.18 6.01 ^ gpio_control_in_1[7]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 6.11 v gpio_control_in_1[7]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.06 6.17 ^ gpio_control_in_1[7]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.17 ^ mprj/io_in[22] (user_project_wrapper) + 6.17 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1[6]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[23] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1[6]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.58 0.58 v gpio_control_in_1[6]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.13 0.71 v gpio_control_in_1[6]/output29/X (sky130_fd_sc_hd__buf_2) + 5.13 5.84 ^ padframe/mprj_pads.area1_io_pad[14]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.18 6.01 ^ gpio_control_in_1[6]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 6.11 v gpio_control_in_1[6]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.06 6.17 ^ gpio_control_in_1[6]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.17 ^ mprj/io_in[23] (user_project_wrapper) + 6.17 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1[5]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[24] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1[5]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.58 0.58 v gpio_control_in_1[5]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.13 0.71 v gpio_control_in_1[5]/output29/X (sky130_fd_sc_hd__buf_2) + 5.13 5.84 ^ padframe/mprj_pads.area1_io_pad[13]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.18 6.01 ^ gpio_control_in_1[5]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 6.11 v gpio_control_in_1[5]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.06 6.17 ^ gpio_control_in_1[5]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.17 ^ mprj/io_in[24] (user_project_wrapper) + 6.17 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1[4]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[25] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1[4]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.58 0.58 v gpio_control_in_1[4]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.13 0.71 v gpio_control_in_1[4]/output29/X (sky130_fd_sc_hd__buf_2) + 5.13 5.84 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.18 6.01 ^ gpio_control_in_1[4]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 6.11 v gpio_control_in_1[4]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.06 6.17 ^ gpio_control_in_1[4]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.17 ^ mprj/io_in[25] (user_project_wrapper) + 6.17 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1[3]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[26] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1[3]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.58 0.58 v gpio_control_in_1[3]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.13 0.71 v gpio_control_in_1[3]/output29/X (sky130_fd_sc_hd__buf_2) + 5.13 5.84 ^ padframe/mprj_pads.area1_io_pad[11]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.18 6.01 ^ gpio_control_in_1[3]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 6.11 v gpio_control_in_1[3]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.06 6.17 ^ gpio_control_in_1[3]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.17 ^ mprj/io_in[26] (user_project_wrapper) + 6.17 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1[2]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[27] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1[2]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.58 0.58 v gpio_control_in_1[2]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.13 0.71 v gpio_control_in_1[2]/output29/X (sky130_fd_sc_hd__buf_2) + 5.13 5.84 ^ padframe/mprj_pads.area1_io_pad[10]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.18 6.01 ^ gpio_control_in_1[2]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 6.11 v gpio_control_in_1[2]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.06 6.17 ^ gpio_control_in_1[2]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.17 ^ mprj/io_in[27] (user_project_wrapper) + 6.17 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1[1]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[28] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1[1]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.58 0.58 v gpio_control_in_1[1]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.13 0.71 v gpio_control_in_1[1]/output29/X (sky130_fd_sc_hd__buf_2) + 5.13 5.84 ^ padframe/mprj_pads.area1_io_pad[9]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.18 6.01 ^ gpio_control_in_1[1]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 6.11 v gpio_control_in_1[1]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.06 6.17 ^ gpio_control_in_1[1]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.17 ^ mprj/io_in[28] (user_project_wrapper) + 6.17 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1[0]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[29] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1[0]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.58 0.58 v gpio_control_in_1[0]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.13 0.71 v gpio_control_in_1[0]/output29/X (sky130_fd_sc_hd__buf_2) + 5.13 5.84 ^ padframe/mprj_pads.area1_io_pad[8]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.18 6.01 ^ gpio_control_in_1[0]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 6.11 v gpio_control_in_1[0]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.06 6.17 ^ gpio_control_in_1[0]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.17 ^ mprj/io_in[29] (user_project_wrapper) + 6.17 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1a[5]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[30] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1a[5]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.58 0.58 v gpio_control_in_1a[5]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.13 0.71 v gpio_control_in_1a[5]/output29/X (sky130_fd_sc_hd__buf_2) + 5.13 5.84 ^ padframe/mprj_pads.area1_io_pad[7]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.18 6.01 ^ gpio_control_in_1a[5]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 6.11 v gpio_control_in_1a[5]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.06 6.17 ^ gpio_control_in_1a[5]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.17 ^ mprj/io_in[30] (user_project_wrapper) + 6.17 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1a[4]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[31] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1a[4]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.58 0.58 v gpio_control_in_1a[4]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.13 0.71 v gpio_control_in_1a[4]/output29/X (sky130_fd_sc_hd__buf_2) + 5.13 5.84 ^ padframe/mprj_pads.area1_io_pad[6]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.18 6.01 ^ gpio_control_in_1a[4]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 6.11 v gpio_control_in_1a[4]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.06 6.17 ^ gpio_control_in_1a[4]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.17 ^ mprj/io_in[31] (user_project_wrapper) + 6.17 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1a[3]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[32] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1a[3]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.58 0.58 v gpio_control_in_1a[3]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.13 0.71 v gpio_control_in_1a[3]/output29/X (sky130_fd_sc_hd__buf_2) + 5.13 5.84 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.18 6.01 ^ gpio_control_in_1a[3]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 6.11 v gpio_control_in_1a[3]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.06 6.17 ^ gpio_control_in_1a[3]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.17 ^ mprj/io_in[32] (user_project_wrapper) + 6.17 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1a[2]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[33] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1a[2]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.58 0.58 v gpio_control_in_1a[2]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.13 0.71 v gpio_control_in_1a[2]/output29/X (sky130_fd_sc_hd__buf_2) + 5.13 5.84 ^ padframe/mprj_pads.area1_io_pad[4]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.18 6.01 ^ gpio_control_in_1a[2]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 6.11 v gpio_control_in_1a[2]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.06 6.17 ^ gpio_control_in_1a[2]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.17 ^ mprj/io_in[33] (user_project_wrapper) + 6.17 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1a[1]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[34] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1a[1]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.58 0.58 v gpio_control_in_1a[1]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.13 0.71 v gpio_control_in_1a[1]/output29/X (sky130_fd_sc_hd__buf_2) + 5.13 5.84 ^ padframe/mprj_pads.area1_io_pad[3]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.18 6.01 ^ gpio_control_in_1a[1]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 6.11 v gpio_control_in_1a[1]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.06 6.17 ^ gpio_control_in_1a[1]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.17 ^ mprj/io_in[34] (user_project_wrapper) + 6.17 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_1a[0]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[35] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_1a[0]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.58 0.58 v gpio_control_in_1a[0]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.13 0.71 v gpio_control_in_1a[0]/output29/X (sky130_fd_sc_hd__buf_2) + 5.13 5.84 ^ padframe/mprj_pads.area1_io_pad[2]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.18 6.01 ^ gpio_control_in_1a[0]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 6.11 v gpio_control_in_1a[0]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.06 6.17 ^ gpio_control_in_1a[0]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.17 ^ mprj/io_in[35] (user_project_wrapper) + 6.17 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_bidir_1[1]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[36] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_bidir_1[1]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.58 0.58 v gpio_control_bidir_1[1]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.13 0.71 v gpio_control_bidir_1[1]/output29/X (sky130_fd_sc_hd__buf_2) + 5.13 5.84 ^ padframe/mprj_pads.area1_io_pad[1]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.18 6.01 ^ gpio_control_bidir_1[1]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 6.11 v gpio_control_bidir_1[1]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.06 6.17 ^ gpio_control_bidir_1[1]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.17 ^ mprj/io_in[36] (user_project_wrapper) + 6.17 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_bidir_1[0]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[37] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_bidir_1[0]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.58 0.58 v gpio_control_bidir_1[0]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.13 0.71 v gpio_control_bidir_1[0]/output29/X (sky130_fd_sc_hd__buf_2) + 5.13 5.84 ^ padframe/mprj_pads.area1_io_pad[0]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.18 6.01 ^ gpio_control_bidir_1[0]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 6.11 v gpio_control_bidir_1[0]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.06 6.17 ^ gpio_control_bidir_1[0]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.17 ^ mprj/io_in[37] (user_project_wrapper) + 6.17 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_2[15]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[3] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_2[15]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.58 0.58 v gpio_control_in_2[15]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.13 0.71 v gpio_control_in_2[15]/output29/X (sky130_fd_sc_hd__buf_2) + 5.13 5.84 ^ padframe/mprj_pads.area2_io_pad[15]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.18 6.01 ^ gpio_control_in_2[15]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 6.11 v gpio_control_in_2[15]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.06 6.17 ^ gpio_control_in_2[15]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.17 ^ mprj/io_in[3] (user_project_wrapper) + 6.17 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: gpio_control_in_2[14]/_214_ (falling edge-triggered flip-flop) +Endpoint: mprj/io_in[4] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 v gpio_control_in_2[14]/_214_/CLK_N (sky130_fd_sc_hd__dfbbn_1) + 0.58 0.58 v gpio_control_in_2[14]/_214_/Q (sky130_fd_sc_hd__dfbbn_1) + 0.13 0.71 v gpio_control_in_2[14]/output29/X (sky130_fd_sc_hd__buf_2) + 5.13 5.84 ^ padframe/mprj_pads.area2_io_pad[14]/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.18 6.01 ^ gpio_control_in_2[14]/input16/X (sky130_fd_sc_hd__clkbuf_1) + 0.09 6.11 v gpio_control_in_2[14]/_197_/Y (sky130_fd_sc_hd__inv_2) + 0.06 6.17 ^ gpio_control_in_2[14]/gpio_in_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 6.17 ^ mprj/io_in[4] (user_project_wrapper) + 6.17 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: clock (clock source 'clock') +Endpoint: mprj/user_clock2 (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 12.50 v clock (in) + 3.66 16.16 v padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped) + 0.16 16.33 v clocking/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16) + 0.09 16.42 v clocking/clkbuf_1_1_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_2) + 0.29 16.71 v clocking/_436_/X (sky130_fd_sc_hd__mux2_1) + 0.29 17.00 v clocking/_400_/X (sky130_fd_sc_hd__mux2_1) + 0.11 17.12 v clocking/output12/X (sky130_fd_sc_hd__clkbuf_1) + 0.13 17.24 v mgmt_buffers/input2/X (sky130_fd_sc_hd__clkbuf_1) + 0.16 17.40 ^ mgmt_buffers/_392_/Y (sky130_fd_sc_hd__inv_2) + 0.04 17.44 v mgmt_buffers/mprj_clk2_buf/Z (sky130_fd_sc_hd__einvp_8) + 0.00 17.44 v mprj/user_clock2 (user_project_wrapper) + 17.44 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +No paths found. +Startpoint: soc/core/_39196_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[18] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.98 ^ soc/core/_39196_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.37 8.34 v soc/core/_39196_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.60 8.95 v soc/core/hold2666/X (sky130_fd_sc_hd__dlygate4sd3_1) + 0.10 9.05 ^ soc/core/_19114_/Y (sky130_fd_sc_hd__inv_2) + 0.14 9.18 ^ soc/core/output390/X (sky130_fd_sc_hd__clkbuf_4) + 0.23 9.41 ^ mgmt_buffers/input398/X (sky130_fd_sc_hd__clkbuf_4) + 0.31 9.72 v mgmt_buffers/la_buf_enable[109]/X (sky130_fd_sc_hd__and2b_2) + 0.19 9.91 v mgmt_buffers/la_buf[109]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.91 v mprj/la_data_in[18] (user_project_wrapper) + 9.91 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39184_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[30] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.09 ^ soc/core/_39184_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.59 8.68 ^ soc/core/_39184_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.23 8.91 v soc/core/_19154_/Y (sky130_fd_sc_hd__clkinv_2) + 0.19 9.10 v soc/core/output504/X (sky130_fd_sc_hd__clkbuf_4) + 0.19 9.29 v mgmt_buffers/input512/X (sky130_fd_sc_hd__clkbuf_4) + 0.37 9.66 ^ mgmt_buffers/la_buf_enable[97]/X (sky130_fd_sc_hd__and2b_2) + 0.19 9.85 ^ mgmt_buffers/la_buf[97]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.85 ^ mprj/la_data_in[30] (user_project_wrapper) + 9.85 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39187_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[27] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.06 ^ soc/core/_39187_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.61 8.67 ^ soc/core/_39187_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.23 8.90 v soc/core/_19145_/Y (sky130_fd_sc_hd__clkinv_2) + 0.19 9.09 v soc/core/output381/X (sky130_fd_sc_hd__clkbuf_4) + 0.21 9.30 v mgmt_buffers/input389/X (sky130_fd_sc_hd__clkbuf_4) + 0.31 9.61 ^ mgmt_buffers/la_buf_enable[100]/X (sky130_fd_sc_hd__and2b_1) + 0.17 9.78 ^ mgmt_buffers/la_buf[100]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.78 ^ mprj/la_data_in[27] (user_project_wrapper) + 9.78 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38560_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[68] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.82 ^ soc/core/_38560_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.41 8.23 ^ soc/core/_38560_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.61 8.83 ^ soc/core/hold2653/X (sky130_fd_sc_hd__dlygate4sd3_1) + 0.13 8.97 v soc/core/_21291_/Y (sky130_fd_sc_hd__clkinv_2) + 0.17 9.14 v soc/core/output462/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 9.30 v mgmt_buffers/input470/X (sky130_fd_sc_hd__clkbuf_2) + 0.29 9.60 ^ mgmt_buffers/la_buf_enable[59]/X (sky130_fd_sc_hd__and2b_1) + 0.17 9.77 ^ mgmt_buffers/la_buf[59]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.77 ^ mprj/la_data_in[68] (user_project_wrapper) + 9.77 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38551_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[77] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.81 ^ soc/core/_38551_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.45 8.26 ^ soc/core/_38551_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.63 8.89 ^ soc/core/hold2359/X (sky130_fd_sc_hd__dlygate4sd3_1) + 0.07 8.96 v soc/core/_21321_/Y (sky130_fd_sc_hd__inv_2) + 0.13 9.09 v soc/core/output453/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 9.29 v mgmt_buffers/input461/X (sky130_fd_sc_hd__clkbuf_2) + 0.31 9.59 ^ mgmt_buffers/la_buf_enable[50]/X (sky130_fd_sc_hd__and2b_1) + 0.17 9.76 ^ mgmt_buffers/la_buf[50]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.76 ^ mprj/la_data_in[77] (user_project_wrapper) + 9.76 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38562_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[66] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.82 ^ soc/core/_38562_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.38 8.20 v soc/core/_38562_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.61 8.81 v soc/core/hold2592/X (sky130_fd_sc_hd__dlygate4sd3_1) + 0.12 8.92 ^ soc/core/_21285_/Y (sky130_fd_sc_hd__inv_2) + 0.14 9.07 ^ soc/core/output465/X (sky130_fd_sc_hd__clkbuf_4) + 0.22 9.29 ^ mgmt_buffers/input473/X (sky130_fd_sc_hd__buf_2) + 0.28 9.56 v mgmt_buffers/la_buf_enable[61]/X (sky130_fd_sc_hd__and2b_1) + 0.19 9.76 v mgmt_buffers/la_buf[61]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.76 v mprj/la_data_in[66] (user_project_wrapper) + 9.76 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38555_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[73] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.80 ^ soc/core/_38555_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.38 8.18 v soc/core/_38555_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.60 8.79 v soc/core/hold1993/X (sky130_fd_sc_hd__dlygate4sd3_1) + 0.10 8.89 ^ soc/core/_21309_/Y (sky130_fd_sc_hd__inv_2) + 0.14 9.02 ^ soc/core/output457/X (sky130_fd_sc_hd__clkbuf_4) + 0.26 9.28 ^ mgmt_buffers/input465/X (sky130_fd_sc_hd__clkbuf_2) + 0.27 9.55 v mgmt_buffers/la_buf_enable[54]/X (sky130_fd_sc_hd__and2b_1) + 0.18 9.73 v mgmt_buffers/la_buf[54]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.73 v mprj/la_data_in[73] (user_project_wrapper) + 9.73 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39190_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[24] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.05 ^ soc/core/_39190_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.66 8.70 ^ soc/core/_39190_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.13 8.84 v soc/core/_19134_/Y (sky130_fd_sc_hd__inv_2) + 0.16 9.00 v soc/core/output384/X (sky130_fd_sc_hd__clkbuf_4) + 0.21 9.22 v mgmt_buffers/input392/X (sky130_fd_sc_hd__clkbuf_4) + 0.31 9.53 ^ mgmt_buffers/la_buf_enable[103]/X (sky130_fd_sc_hd__and2b_1) + 0.17 9.70 ^ mgmt_buffers/la_buf[103]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.70 ^ mprj/la_data_in[24] (user_project_wrapper) + 9.70 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39188_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[26] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.05 ^ soc/core/_39188_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.63 8.68 ^ soc/core/_39188_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.15 8.83 v soc/core/_19142_/Y (sky130_fd_sc_hd__inv_2) + 0.17 9.00 v soc/core/output382/X (sky130_fd_sc_hd__clkbuf_4) + 0.21 9.20 v mgmt_buffers/input390/X (sky130_fd_sc_hd__clkbuf_4) + 0.30 9.51 ^ mgmt_buffers/la_buf_enable[101]/X (sky130_fd_sc_hd__and2b_1) + 0.17 9.68 ^ mgmt_buffers/la_buf[101]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.68 ^ mprj/la_data_in[26] (user_project_wrapper) + 9.68 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39186_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[28] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.06 ^ soc/core/_39186_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.61 8.67 ^ soc/core/_39186_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.16 8.82 v soc/core/_19148_/Y (sky130_fd_sc_hd__inv_2) + 0.17 8.99 v soc/core/output506/X (sky130_fd_sc_hd__clkbuf_4) + 0.22 9.21 v mgmt_buffers/input514/X (sky130_fd_sc_hd__clkbuf_4) + 0.30 9.50 ^ mgmt_buffers/la_buf_enable[99]/X (sky130_fd_sc_hd__and2b_1) + 0.16 9.67 ^ mgmt_buffers/la_buf[99]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.67 ^ mprj/la_data_in[28] (user_project_wrapper) + 9.67 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39183_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[31] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.09 ^ soc/core/_39183_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.62 8.71 ^ soc/core/_39183_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.14 8.85 v soc/core/_19157_/Y (sky130_fd_sc_hd__inv_2) + 0.17 9.01 v soc/core/output503/X (sky130_fd_sc_hd__clkbuf_4) + 0.18 9.19 v mgmt_buffers/input511/X (sky130_fd_sc_hd__clkbuf_2) + 0.30 9.49 ^ mgmt_buffers/la_buf_enable[96]/X (sky130_fd_sc_hd__and2b_1) + 0.17 9.66 ^ mgmt_buffers/la_buf[96]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.66 ^ mprj/la_data_in[31] (user_project_wrapper) + 9.66 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39214_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[0] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.09 ^ soc/core/_39214_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.47 8.56 ^ soc/core/_39214_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.18 8.74 v soc/core/_19048_/Y (sky130_fd_sc_hd__clkinv_8) + 0.21 8.95 v soc/core/output410/X (sky130_fd_sc_hd__clkbuf_4) + 0.22 9.18 v mgmt_buffers/input418/X (sky130_fd_sc_hd__clkbuf_4) + 0.29 9.47 ^ mgmt_buffers/la_buf_enable[127]/X (sky130_fd_sc_hd__and2b_1) + 0.16 9.64 ^ mgmt_buffers/la_buf[127]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.64 ^ mprj/la_data_in[0] (user_project_wrapper) + 9.64 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39189_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[25] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.05 ^ soc/core/_39189_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.57 8.62 ^ soc/core/_39189_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.12 8.74 v soc/core/_19139_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.89 v soc/core/output383/X (sky130_fd_sc_hd__clkbuf_4) + 0.22 9.12 v mgmt_buffers/input391/X (sky130_fd_sc_hd__clkbuf_4) + 0.32 9.44 ^ mgmt_buffers/la_buf_enable[102]/X (sky130_fd_sc_hd__and2b_1) + 0.17 9.61 ^ mgmt_buffers/la_buf[102]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.61 ^ mprj/la_data_in[25] (user_project_wrapper) + 9.61 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38588_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[104] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.77 ^ soc/core/_38588_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.37 8.13 v soc/core/_38588_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.60 8.73 v soc/core/hold2529/X (sky130_fd_sc_hd__dlygate4sd3_1) + 0.10 8.84 ^ soc/core/_21195_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.97 ^ soc/core/output423/X (sky130_fd_sc_hd__clkbuf_4) + 0.19 9.16 ^ mgmt_buffers/input431/X (sky130_fd_sc_hd__buf_2) + 0.25 9.42 v mgmt_buffers/la_buf_enable[23]/X (sky130_fd_sc_hd__and2b_1) + 0.18 9.60 v mgmt_buffers/la_buf[23]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.60 v mprj/la_data_in[104] (user_project_wrapper) + 9.60 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39185_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[29] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.06 ^ soc/core/_39185_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.55 8.61 ^ soc/core/_39185_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.13 8.74 v soc/core/_19151_/Y (sky130_fd_sc_hd__inv_2) + 0.16 8.90 v soc/core/output505/X (sky130_fd_sc_hd__clkbuf_4) + 0.21 9.11 v mgmt_buffers/input513/X (sky130_fd_sc_hd__clkbuf_4) + 0.32 9.43 ^ mgmt_buffers/la_buf_enable[98]/X (sky130_fd_sc_hd__and2b_1) + 0.17 9.60 ^ mgmt_buffers/la_buf[98]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.60 ^ mprj/la_data_in[29] (user_project_wrapper) + 9.60 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39212_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[2] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.09 ^ soc/core/_39212_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.45 8.54 ^ soc/core/_39212_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.13 8.67 v soc/core/_19058_/Y (sky130_fd_sc_hd__clkinv_8) + 0.22 8.89 v soc/core/output408/X (sky130_fd_sc_hd__clkbuf_4) + 0.22 9.11 v mgmt_buffers/input416/X (sky130_fd_sc_hd__clkbuf_4) + 0.31 9.42 ^ mgmt_buffers/la_buf_enable[125]/X (sky130_fd_sc_hd__and2b_1) + 0.18 9.59 ^ mgmt_buffers/la_buf[125]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.59 ^ mprj/la_data_in[2] (user_project_wrapper) + 9.59 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38532_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[32] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.11 ^ soc/core/_38532_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.58 8.69 ^ soc/core/_38532_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.06 8.75 v soc/core/_32262_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.89 v soc/core/output502/X (sky130_fd_sc_hd__clkbuf_4) + 0.22 9.11 v mgmt_buffers/input510/X (sky130_fd_sc_hd__clkbuf_4) + 0.31 9.41 ^ mgmt_buffers/la_buf_enable[95]/X (sky130_fd_sc_hd__and2b_1) + 0.17 9.59 ^ mgmt_buffers/la_buf[95]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.59 ^ mprj/la_data_in[32] (user_project_wrapper) + 9.59 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39210_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[4] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.09 ^ soc/core/_39210_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.42 8.51 v soc/core/_39210_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.18 8.69 ^ soc/core/_19065_/Y (sky130_fd_sc_hd__inv_6) + 0.21 8.90 ^ soc/core/output406/X (sky130_fd_sc_hd__clkbuf_4) + 0.22 9.12 ^ mgmt_buffers/input414/X (sky130_fd_sc_hd__clkbuf_4) + 0.27 9.40 v mgmt_buffers/la_buf_enable[123]/X (sky130_fd_sc_hd__and2b_1) + 0.19 9.59 v mgmt_buffers/la_buf[123]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.59 v mprj/la_data_in[4] (user_project_wrapper) + 9.59 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39213_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[1] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.09 ^ soc/core/_39213_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.46 8.55 ^ soc/core/_39213_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.15 8.70 v soc/core/_19055_/Y (sky130_fd_sc_hd__clkinv_8) + 0.19 8.89 v soc/core/output409/X (sky130_fd_sc_hd__clkbuf_4) + 0.22 9.11 v mgmt_buffers/input417/X (sky130_fd_sc_hd__clkbuf_4) + 0.30 9.41 ^ mgmt_buffers/la_buf_enable[126]/X (sky130_fd_sc_hd__and2b_1) + 0.17 9.58 ^ mgmt_buffers/la_buf[126]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.58 ^ mprj/la_data_in[1] (user_project_wrapper) + 9.58 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38526_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[38] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.11 ^ soc/core/_38526_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.48 8.59 ^ soc/core/_38526_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.08 8.67 v soc/core/_32256_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.81 v soc/core/output495/X (sky130_fd_sc_hd__clkbuf_4) + 0.21 9.02 v mgmt_buffers/input503/X (sky130_fd_sc_hd__buf_4) + 0.36 9.38 ^ mgmt_buffers/la_buf_enable[89]/X (sky130_fd_sc_hd__and2b_1) + 0.19 9.58 ^ mgmt_buffers/la_buf[89]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.58 ^ mprj/la_data_in[38] (user_project_wrapper) + 9.58 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39211_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[3] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.09 ^ soc/core/_39211_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.47 8.55 ^ soc/core/_39211_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.17 8.72 v soc/core/_19062_/Y (sky130_fd_sc_hd__clkinv_8) + 0.18 8.90 v soc/core/output407/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 9.11 v mgmt_buffers/input415/X (sky130_fd_sc_hd__clkbuf_4) + 0.29 9.40 ^ mgmt_buffers/la_buf_enable[124]/X (sky130_fd_sc_hd__and2b_1) + 0.16 9.56 ^ mgmt_buffers/la_buf[124]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.56 ^ mprj/la_data_in[3] (user_project_wrapper) + 9.56 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38531_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[33] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.11 ^ soc/core/_38531_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.52 8.63 ^ soc/core/_38531_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.05 8.68 v soc/core/_32261_/Y (sky130_fd_sc_hd__inv_2) + 0.13 8.82 v soc/core/output501/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 9.02 v mgmt_buffers/input509/X (sky130_fd_sc_hd__buf_4) + 0.35 9.37 ^ mgmt_buffers/la_buf_enable[94]/X (sky130_fd_sc_hd__and2b_1) + 0.18 9.56 ^ mgmt_buffers/la_buf[94]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.56 ^ mprj/la_data_in[33] (user_project_wrapper) + 9.56 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39209_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[5] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.06 ^ soc/core/_39209_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.49 8.55 ^ soc/core/_39209_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.13 8.68 v soc/core/_19068_/Y (sky130_fd_sc_hd__inv_6) + 0.21 8.89 v soc/core/output405/X (sky130_fd_sc_hd__clkbuf_4) + 0.19 9.08 v mgmt_buffers/input413/X (sky130_fd_sc_hd__clkbuf_4) + 0.29 9.37 ^ mgmt_buffers/la_buf_enable[122]/X (sky130_fd_sc_hd__and2b_1) + 0.17 9.54 ^ mgmt_buffers/la_buf[122]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.54 ^ mprj/la_data_in[5] (user_project_wrapper) + 9.54 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38565_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[127] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.77 ^ soc/core/_38565_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.75 8.52 ^ soc/core/_38565_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.23 8.75 v soc/core/_21273_/Y (sky130_fd_sc_hd__clkinv_2) + 0.19 8.94 v soc/core/output380/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 9.10 v mgmt_buffers/input388/X (sky130_fd_sc_hd__clkbuf_2) + 0.27 9.37 ^ mgmt_buffers/la_buf_enable[0]/X (sky130_fd_sc_hd__and2b_1) + 0.16 9.54 ^ mgmt_buffers/la_buf[0]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.54 ^ mprj/la_data_in[127] (user_project_wrapper) + 9.54 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38424_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[44] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.11 ^ soc/core/_38424_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.47 8.57 ^ soc/core/_38424_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.28 8.86 ^ soc/core/_32475_/X (sky130_fd_sc_hd__clkbuf_2) + 0.19 9.05 ^ soc/core/output617/X (sky130_fd_sc_hd__clkbuf_4) + 0.23 9.27 ^ mgmt_buffers/input241/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 9.45 v mgmt_buffers/_547_/Y (sky130_fd_sc_hd__clkinv_2) + 0.08 9.53 ^ mgmt_buffers/la_buf[83]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.53 ^ mprj/la_data_in[44] (user_project_wrapper) + 9.53 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39208_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[6] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.06 ^ soc/core/_39208_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.45 8.51 ^ soc/core/_39208_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.13 8.64 v soc/core/_19071_/Y (sky130_fd_sc_hd__inv_4) + 0.18 8.82 v soc/core/output404/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 9.02 v mgmt_buffers/input412/X (sky130_fd_sc_hd__clkbuf_4) + 0.32 9.34 ^ mgmt_buffers/la_buf_enable[121]/X (sky130_fd_sc_hd__and2b_1) + 0.18 9.52 ^ mgmt_buffers/la_buf[121]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.52 ^ mprj/la_data_in[6] (user_project_wrapper) + 9.52 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38527_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[37] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.11 ^ soc/core/_38527_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.49 8.60 ^ soc/core/_38527_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.06 8.66 v soc/core/_32257_/Y (sky130_fd_sc_hd__inv_2) + 0.13 8.79 v soc/core/output497/X (sky130_fd_sc_hd__clkbuf_4) + 0.21 9.00 v mgmt_buffers/input505/X (sky130_fd_sc_hd__clkbuf_4) + 0.33 9.33 ^ mgmt_buffers/la_buf_enable[90]/X (sky130_fd_sc_hd__and2b_1) + 0.18 9.51 ^ mgmt_buffers/la_buf[90]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.51 ^ mprj/la_data_in[37] (user_project_wrapper) + 9.51 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39207_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[7] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.06 ^ soc/core/_39207_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.45 8.50 ^ soc/core/_39207_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.13 8.64 v soc/core/_19075_/Y (sky130_fd_sc_hd__inv_4) + 0.19 8.83 v soc/core/output403/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 9.00 v mgmt_buffers/input411/X (sky130_fd_sc_hd__clkbuf_2) + 0.33 9.33 ^ mgmt_buffers/la_buf_enable[120]/X (sky130_fd_sc_hd__and2b_1) + 0.18 9.51 ^ mgmt_buffers/la_buf[120]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.51 ^ mprj/la_data_in[7] (user_project_wrapper) + 9.51 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38529_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[35] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.11 ^ soc/core/_38529_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.49 8.59 ^ soc/core/_38529_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.06 8.65 v soc/core/_32259_/Y (sky130_fd_sc_hd__inv_2) + 0.13 8.79 v soc/core/output499/X (sky130_fd_sc_hd__clkbuf_4) + 0.22 9.01 v mgmt_buffers/input507/X (sky130_fd_sc_hd__clkbuf_4) + 0.32 9.33 ^ mgmt_buffers/la_buf_enable[92]/X (sky130_fd_sc_hd__and2b_1) + 0.17 9.50 ^ mgmt_buffers/la_buf[92]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.50 ^ mprj/la_data_in[35] (user_project_wrapper) + 9.50 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38566_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[126] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.77 ^ soc/core/_38566_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.72 8.48 ^ soc/core/_38566_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.23 8.72 v soc/core/_21270_/Y (sky130_fd_sc_hd__clkinv_2) + 0.19 8.91 v soc/core/output419/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 9.07 v mgmt_buffers/input427/X (sky130_fd_sc_hd__buf_2) + 0.26 9.33 ^ mgmt_buffers/la_buf_enable[1]/X (sky130_fd_sc_hd__and2b_1) + 0.16 9.50 ^ mgmt_buffers/la_buf[1]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.50 ^ mprj/la_data_in[126] (user_project_wrapper) + 9.50 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38423_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[45] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.11 ^ soc/core/_38423_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.46 8.57 ^ soc/core/_38423_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.25 8.82 ^ soc/core/_32474_/X (sky130_fd_sc_hd__clkbuf_2) + 0.17 8.98 ^ soc/core/output616/X (sky130_fd_sc_hd__clkbuf_4) + 0.24 9.23 ^ mgmt_buffers/input240/X (sky130_fd_sc_hd__buf_2) + 0.17 9.40 v mgmt_buffers/_546_/Y (sky130_fd_sc_hd__clkinv_2) + 0.08 9.48 ^ mgmt_buffers/la_buf[82]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.48 ^ mprj/la_data_in[45] (user_project_wrapper) + 9.48 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38530_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[34] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.11 ^ soc/core/_38530_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.51 8.62 ^ soc/core/_38530_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.06 8.68 v soc/core/_32260_/Y (sky130_fd_sc_hd__inv_2) + 0.13 8.82 v soc/core/output500/X (sky130_fd_sc_hd__clkbuf_4) + 0.19 9.01 v mgmt_buffers/input508/X (sky130_fd_sc_hd__buf_2) + 0.29 9.31 ^ mgmt_buffers/la_buf_enable[93]/X (sky130_fd_sc_hd__and2b_1) + 0.17 9.47 ^ mgmt_buffers/la_buf[93]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.47 ^ mprj/la_data_in[34] (user_project_wrapper) + 9.47 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38528_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[36] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.11 ^ soc/core/_38528_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.51 8.61 ^ soc/core/_38528_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.06 8.67 v soc/core/_32258_/Y (sky130_fd_sc_hd__inv_2) + 0.13 8.80 v soc/core/output498/X (sky130_fd_sc_hd__clkbuf_4) + 0.21 9.01 v mgmt_buffers/input506/X (sky130_fd_sc_hd__clkbuf_4) + 0.29 9.31 ^ mgmt_buffers/la_buf_enable[91]/X (sky130_fd_sc_hd__and2b_1) + 0.16 9.47 ^ mgmt_buffers/la_buf[91]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.47 ^ mprj/la_data_in[36] (user_project_wrapper) + 9.47 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38422_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[46] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.11 ^ soc/core/_38422_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.45 8.55 ^ soc/core/_38422_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.25 8.80 ^ soc/core/_32473_/X (sky130_fd_sc_hd__buf_2) + 0.17 8.97 ^ soc/core/output615/X (sky130_fd_sc_hd__clkbuf_4) + 0.26 9.23 ^ mgmt_buffers/input239/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 9.39 v mgmt_buffers/_545_/Y (sky130_fd_sc_hd__clkinv_2) + 0.08 9.47 ^ mgmt_buffers/la_buf[81]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.47 ^ mprj/la_data_in[46] (user_project_wrapper) + 9.47 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38426_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[42] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.11 ^ soc/core/_38426_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.44 8.55 ^ soc/core/_38426_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.22 8.77 ^ soc/core/_32477_/X (sky130_fd_sc_hd__clkbuf_2) + 0.16 8.93 ^ soc/core/output619/X (sky130_fd_sc_hd__clkbuf_4) + 0.25 9.18 ^ mgmt_buffers/input243/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 9.34 v mgmt_buffers/_549_/Y (sky130_fd_sc_hd__clkinv_2) + 0.07 9.41 ^ mgmt_buffers/la_buf[85]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.41 ^ mprj/la_data_in[42] (user_project_wrapper) + 9.41 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39206_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[8] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.98 ^ soc/core/_39206_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.42 8.40 ^ soc/core/_39206_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.14 8.54 v soc/core/_19078_/Y (sky130_fd_sc_hd__clkinv_2) + 0.16 8.70 v soc/core/output401/X (sky130_fd_sc_hd__clkbuf_4) + 0.19 8.90 v mgmt_buffers/input409/X (sky130_fd_sc_hd__clkbuf_4) + 0.33 9.23 ^ mgmt_buffers/la_buf_enable[119]/X (sky130_fd_sc_hd__and2b_2) + 0.18 9.41 ^ mgmt_buffers/la_buf[119]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.41 ^ mprj/la_data_in[8] (user_project_wrapper) + 9.41 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38421_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[47] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.11 ^ soc/core/_38421_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.45 8.56 ^ soc/core/_38421_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.21 8.77 ^ soc/core/_32472_/X (sky130_fd_sc_hd__clkbuf_2) + 0.15 8.92 ^ soc/core/output614/X (sky130_fd_sc_hd__clkbuf_4) + 0.25 9.17 ^ mgmt_buffers/input238/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 9.33 v mgmt_buffers/_544_/Y (sky130_fd_sc_hd__clkinv_2) + 0.07 9.40 ^ mgmt_buffers/la_buf[80]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.40 ^ mprj/la_data_in[47] (user_project_wrapper) + 9.40 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39200_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[14] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.97 ^ soc/core/_39200_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.43 8.40 ^ soc/core/_39200_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.09 8.50 v soc/core/_19099_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.64 v soc/core/output395/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 8.84 v mgmt_buffers/input403/X (sky130_fd_sc_hd__clkbuf_4) + 0.35 9.19 ^ mgmt_buffers/la_buf_enable[113]/X (sky130_fd_sc_hd__and2b_1) + 0.19 9.38 ^ mgmt_buffers/la_buf[113]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.38 ^ mprj/la_data_in[14] (user_project_wrapper) + 9.38 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39205_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[9] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.00 ^ soc/core/_39205_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.45 8.45 ^ soc/core/_39205_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.09 8.54 v soc/core/_19083_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.68 v soc/core/output400/X (sky130_fd_sc_hd__clkbuf_4) + 0.21 8.89 v mgmt_buffers/input408/X (sky130_fd_sc_hd__clkbuf_4) + 0.31 9.20 ^ mgmt_buffers/la_buf_enable[118]/X (sky130_fd_sc_hd__and2b_1) + 0.17 9.37 ^ mgmt_buffers/la_buf[118]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.37 ^ mprj/la_data_in[9] (user_project_wrapper) + 9.37 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38425_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[43] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.11 ^ soc/core/_38425_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.45 8.56 ^ soc/core/_38425_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.20 8.76 ^ soc/core/_32476_/X (sky130_fd_sc_hd__clkbuf_2) + 0.15 8.91 ^ soc/core/output618/X (sky130_fd_sc_hd__clkbuf_4) + 0.22 9.13 ^ mgmt_buffers/input242/X (sky130_fd_sc_hd__buf_4) + 0.16 9.29 v mgmt_buffers/_548_/Y (sky130_fd_sc_hd__clkinv_2) + 0.07 9.37 ^ mgmt_buffers/la_buf[84]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.37 ^ mprj/la_data_in[43] (user_project_wrapper) + 9.37 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39192_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[22] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.98 ^ soc/core/_39192_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.48 8.46 ^ soc/core/_39192_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.10 8.56 v soc/core/_19127_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.71 v soc/core/output386/X (sky130_fd_sc_hd__clkbuf_4) + 0.19 8.90 v mgmt_buffers/input394/X (sky130_fd_sc_hd__buf_4) + 0.29 9.19 ^ mgmt_buffers/la_buf_enable[105]/X (sky130_fd_sc_hd__and2b_1) + 0.17 9.36 ^ mgmt_buffers/la_buf[105]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.36 ^ mprj/la_data_in[22] (user_project_wrapper) + 9.36 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38524_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[40] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.07 ^ soc/core/_38524_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.43 8.50 ^ soc/core/_38524_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.05 8.54 v soc/core/_32254_/Y (sky130_fd_sc_hd__inv_2) + 0.13 8.67 v soc/core/output493/X (sky130_fd_sc_hd__clkbuf_4) + 0.23 8.90 v mgmt_buffers/input501/X (sky130_fd_sc_hd__clkbuf_4) + 0.30 9.19 ^ mgmt_buffers/la_buf_enable[87]/X (sky130_fd_sc_hd__and2b_1) + 0.17 9.36 ^ mgmt_buffers/la_buf[87]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.36 ^ mprj/la_data_in[40] (user_project_wrapper) + 9.36 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39198_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[16] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.98 ^ soc/core/_39198_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.44 8.42 ^ soc/core/_39198_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.09 8.51 v soc/core/_19106_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.65 v soc/core/output393/X (sky130_fd_sc_hd__clkbuf_4) + 0.19 8.84 v mgmt_buffers/input401/X (sky130_fd_sc_hd__buf_4) + 0.33 9.17 ^ mgmt_buffers/la_buf_enable[111]/X (sky130_fd_sc_hd__and2b_1) + 0.18 9.35 ^ mgmt_buffers/la_buf[111]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.35 ^ mprj/la_data_in[16] (user_project_wrapper) + 9.35 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38429_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[39] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.05 ^ soc/core/_38429_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.39 8.45 ^ soc/core/_38429_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.23 8.67 ^ soc/core/_32480_/X (sky130_fd_sc_hd__clkbuf_2) + 0.17 8.84 ^ soc/core/output622/X (sky130_fd_sc_hd__clkbuf_4) + 0.26 9.10 ^ mgmt_buffers/input246/X (sky130_fd_sc_hd__buf_2) + 0.17 9.27 v mgmt_buffers/_552_/Y (sky130_fd_sc_hd__clkinv_2) + 0.08 9.35 ^ mgmt_buffers/la_buf[88]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.35 ^ mprj/la_data_in[39] (user_project_wrapper) + 9.35 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38523_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[41] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.07 ^ soc/core/_38523_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.44 8.50 ^ soc/core/_38523_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.05 8.55 v soc/core/_32253_/Y (sky130_fd_sc_hd__inv_2) + 0.13 8.68 v soc/core/output492/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 8.88 v mgmt_buffers/input500/X (sky130_fd_sc_hd__buf_4) + 0.30 9.18 ^ mgmt_buffers/la_buf_enable[86]/X (sky130_fd_sc_hd__and2b_1) + 0.17 9.34 ^ mgmt_buffers/la_buf[86]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.34 ^ mprj/la_data_in[41] (user_project_wrapper) + 9.34 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39194_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[20] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.98 ^ soc/core/_39194_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.42 8.40 ^ soc/core/_39194_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.08 8.48 v soc/core/_19121_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.61 v soc/core/output388/X (sky130_fd_sc_hd__clkbuf_4) + 0.21 8.82 v mgmt_buffers/input396/X (sky130_fd_sc_hd__clkbuf_4) + 0.34 9.16 ^ mgmt_buffers/la_buf_enable[107]/X (sky130_fd_sc_hd__and2b_1) + 0.18 9.34 ^ mgmt_buffers/la_buf[107]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.34 ^ mprj/la_data_in[20] (user_project_wrapper) + 9.34 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39204_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[10] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.00 ^ soc/core/_39204_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.43 8.43 ^ soc/core/_39204_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.08 8.51 v soc/core/_19086_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.65 v soc/core/output399/X (sky130_fd_sc_hd__clkbuf_4) + 0.21 8.86 v mgmt_buffers/input407/X (sky130_fd_sc_hd__clkbuf_4) + 0.31 9.17 ^ mgmt_buffers/la_buf_enable[117]/X (sky130_fd_sc_hd__and2b_1) + 0.17 9.34 ^ mgmt_buffers/la_buf[117]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.34 ^ mprj/la_data_in[10] (user_project_wrapper) + 9.34 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39199_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[15] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.97 ^ soc/core/_39199_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.43 8.40 ^ soc/core/_39199_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.07 8.47 v soc/core/_19103_/Y (sky130_fd_sc_hd__inv_2) + 0.13 8.60 v soc/core/output394/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 8.80 v mgmt_buffers/input402/X (sky130_fd_sc_hd__buf_2) + 0.35 9.14 ^ mgmt_buffers/la_buf_enable[112]/X (sky130_fd_sc_hd__and2b_1) + 0.19 9.33 ^ mgmt_buffers/la_buf[112]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.33 ^ mprj/la_data_in[15] (user_project_wrapper) + 9.33 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38512_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[52] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.83 ^ soc/core/_38512_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.47 8.30 ^ soc/core/_38512_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.18 8.49 v soc/core/_32242_/Y (sky130_fd_sc_hd__clkinv_2) + 0.18 8.66 v soc/core/output480/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 8.87 v mgmt_buffers/input488/X (sky130_fd_sc_hd__buf_2) + 0.29 9.16 ^ mgmt_buffers/la_buf_enable[75]/X (sky130_fd_sc_hd__and2b_1) + 0.17 9.33 ^ mgmt_buffers/la_buf[75]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.33 ^ mprj/la_data_in[52] (user_project_wrapper) + 9.33 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39203_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[11] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.00 ^ soc/core/_39203_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.43 8.43 ^ soc/core/_39203_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.07 8.50 v soc/core/_19090_/Y (sky130_fd_sc_hd__inv_2) + 0.13 8.63 v soc/core/output398/X (sky130_fd_sc_hd__clkbuf_4) + 0.21 8.85 v mgmt_buffers/input406/X (sky130_fd_sc_hd__clkbuf_4) + 0.30 9.15 ^ mgmt_buffers/la_buf_enable[116]/X (sky130_fd_sc_hd__and2b_1) + 0.17 9.32 ^ mgmt_buffers/la_buf[116]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.32 ^ mprj/la_data_in[11] (user_project_wrapper) + 9.32 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39201_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[13] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.97 ^ soc/core/_39201_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.42 8.39 ^ soc/core/_39201_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.07 8.47 v soc/core/_19096_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.60 v soc/core/output396/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 8.81 v mgmt_buffers/input404/X (sky130_fd_sc_hd__clkbuf_4) + 0.33 9.14 ^ mgmt_buffers/la_buf_enable[114]/X (sky130_fd_sc_hd__and2b_1) + 0.18 9.32 ^ mgmt_buffers/la_buf[114]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.32 ^ mprj/la_data_in[13] (user_project_wrapper) + 9.32 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38534_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[94] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.80 ^ soc/core/_38534_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.61 8.41 ^ soc/core/_38534_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.14 8.55 v soc/core/_21378_/Y (sky130_fd_sc_hd__inv_2) + 0.16 8.71 v soc/core/output434/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 8.88 v mgmt_buffers/input442/X (sky130_fd_sc_hd__buf_2) + 0.27 9.15 ^ mgmt_buffers/la_buf_enable[33]/X (sky130_fd_sc_hd__and2b_1) + 0.16 9.31 ^ mgmt_buffers/la_buf[33]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.31 ^ mprj/la_data_in[94] (user_project_wrapper) + 9.31 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39193_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[21] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.98 ^ soc/core/_39193_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.42 8.40 ^ soc/core/_39193_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.08 8.48 v soc/core/_19124_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.62 v soc/core/output387/X (sky130_fd_sc_hd__clkbuf_4) + 0.19 8.81 v mgmt_buffers/input395/X (sky130_fd_sc_hd__buf_2) + 0.33 9.13 ^ mgmt_buffers/la_buf_enable[106]/X (sky130_fd_sc_hd__and2b_1) + 0.18 9.31 ^ mgmt_buffers/la_buf[106]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.31 ^ mprj/la_data_in[21] (user_project_wrapper) + 9.31 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38516_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[48] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.83 ^ soc/core/_38516_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.45 8.28 ^ soc/core/_38516_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.12 8.40 v soc/core/_32246_/Y (sky130_fd_sc_hd__inv_2) + 0.16 8.56 v soc/core/output484/X (sky130_fd_sc_hd__clkbuf_4) + 0.22 8.78 v mgmt_buffers/input492/X (sky130_fd_sc_hd__clkbuf_4) + 0.34 9.11 ^ mgmt_buffers/la_buf_enable[79]/X (sky130_fd_sc_hd__and2b_1) + 0.18 9.29 ^ mgmt_buffers/la_buf[79]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.29 ^ mprj/la_data_in[48] (user_project_wrapper) + 9.29 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38510_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[54] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.83 ^ soc/core/_38510_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.45 8.27 ^ soc/core/_38510_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.17 8.44 v soc/core/_32240_/Y (sky130_fd_sc_hd__clkinv_2) + 0.18 8.62 v soc/core/output478/X (sky130_fd_sc_hd__clkbuf_4) + 0.21 8.83 v mgmt_buffers/input486/X (sky130_fd_sc_hd__clkbuf_4) + 0.29 9.12 ^ mgmt_buffers/la_buf_enable[73]/X (sky130_fd_sc_hd__and2b_1) + 0.17 9.29 ^ mgmt_buffers/la_buf[73]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.29 ^ mprj/la_data_in[54] (user_project_wrapper) + 9.29 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39191_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[23] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.98 ^ soc/core/_39191_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.43 8.41 ^ soc/core/_39191_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.09 8.50 v soc/core/_19131_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.63 v soc/core/output385/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 8.81 v mgmt_buffers/input393/X (sky130_fd_sc_hd__buf_2) + 0.30 9.11 ^ mgmt_buffers/la_buf_enable[104]/X (sky130_fd_sc_hd__and2b_1) + 0.18 9.29 ^ mgmt_buffers/la_buf[104]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.29 ^ mprj/la_data_in[23] (user_project_wrapper) + 9.29 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39197_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[17] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.98 ^ soc/core/_39197_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.42 8.40 ^ soc/core/_39197_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.08 8.48 v soc/core/_19111_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.62 v soc/core/output392/X (sky130_fd_sc_hd__clkbuf_4) + 0.21 8.83 v mgmt_buffers/input400/X (sky130_fd_sc_hd__clkbuf_4) + 0.30 9.12 ^ mgmt_buffers/la_buf_enable[110]/X (sky130_fd_sc_hd__and2b_1) + 0.16 9.29 ^ mgmt_buffers/la_buf[110]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.29 ^ mprj/la_data_in[17] (user_project_wrapper) + 9.29 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38513_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[51] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.83 ^ soc/core/_38513_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.43 8.25 ^ soc/core/_38513_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.13 8.38 v soc/core/_32243_/Y (sky130_fd_sc_hd__inv_2) + 0.16 8.55 v soc/core/output481/X (sky130_fd_sc_hd__clkbuf_4) + 0.21 8.75 v mgmt_buffers/input489/X (sky130_fd_sc_hd__clkbuf_4) + 0.35 9.10 ^ mgmt_buffers/la_buf_enable[76]/X (sky130_fd_sc_hd__and2b_1) + 0.19 9.29 ^ mgmt_buffers/la_buf[76]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.29 ^ mprj/la_data_in[51] (user_project_wrapper) + 9.29 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39195_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[19] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.98 ^ soc/core/_39195_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.43 8.40 ^ soc/core/_39195_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.08 8.49 v soc/core/_19118_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.62 v soc/core/output389/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 8.82 v mgmt_buffers/input397/X (sky130_fd_sc_hd__buf_4) + 0.30 9.12 ^ mgmt_buffers/la_buf_enable[108]/X (sky130_fd_sc_hd__and2b_1) + 0.16 9.28 ^ mgmt_buffers/la_buf[108]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.28 ^ mprj/la_data_in[19] (user_project_wrapper) + 9.28 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38533_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[95] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.80 ^ soc/core/_38533_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.59 8.39 ^ soc/core/_38533_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.12 8.50 v soc/core/_21381_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.66 v soc/core/output433/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 8.83 v mgmt_buffers/input441/X (sky130_fd_sc_hd__buf_2) + 0.28 9.11 ^ mgmt_buffers/la_buf_enable[32]/X (sky130_fd_sc_hd__and2b_1) + 0.17 9.27 ^ mgmt_buffers/la_buf[32]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.27 ^ mprj/la_data_in[95] (user_project_wrapper) + 9.27 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38508_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[56] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.83 ^ soc/core/_38508_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.44 8.27 ^ soc/core/_38508_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.18 8.45 v soc/core/_32238_/Y (sky130_fd_sc_hd__clkinv_2) + 0.18 8.63 v soc/core/output476/X (sky130_fd_sc_hd__clkbuf_4) + 0.18 8.80 v mgmt_buffers/input484/X (sky130_fd_sc_hd__clkbuf_2) + 0.30 9.10 ^ mgmt_buffers/la_buf_enable[71]/X (sky130_fd_sc_hd__and2b_1) + 0.17 9.27 ^ mgmt_buffers/la_buf[71]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.27 ^ mprj/la_data_in[56] (user_project_wrapper) + 9.27 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39202_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[12] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.97 ^ soc/core/_39202_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.42 8.39 ^ soc/core/_39202_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.06 8.46 v soc/core/_19093_/Y (sky130_fd_sc_hd__inv_2) + 0.13 8.59 v soc/core/output397/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 8.79 v mgmt_buffers/input405/X (sky130_fd_sc_hd__clkbuf_4) + 0.30 9.09 ^ mgmt_buffers/la_buf_enable[115]/X (sky130_fd_sc_hd__and2b_1) + 0.17 9.26 ^ mgmt_buffers/la_buf[115]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.26 ^ mprj/la_data_in[12] (user_project_wrapper) + 9.26 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38515_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[49] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.83 ^ soc/core/_38515_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.45 8.28 ^ soc/core/_38515_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.13 8.41 v soc/core/_32245_/Y (sky130_fd_sc_hd__inv_2) + 0.17 8.58 v soc/core/output483/X (sky130_fd_sc_hd__clkbuf_4) + 0.21 8.79 v mgmt_buffers/input491/X (sky130_fd_sc_hd__clkbuf_4) + 0.30 9.09 ^ mgmt_buffers/la_buf_enable[78]/X (sky130_fd_sc_hd__and2b_1) + 0.17 9.26 ^ mgmt_buffers/la_buf[78]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.26 ^ mprj/la_data_in[49] (user_project_wrapper) + 9.26 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38509_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[55] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.83 ^ soc/core/_38509_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.52 8.34 ^ soc/core/_38509_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.11 8.45 v soc/core/_32239_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.60 v soc/core/output477/X (sky130_fd_sc_hd__clkbuf_4) + 0.18 8.78 v mgmt_buffers/input485/X (sky130_fd_sc_hd__buf_2) + 0.30 9.08 ^ mgmt_buffers/la_buf_enable[72]/X (sky130_fd_sc_hd__and2b_1) + 0.18 9.25 ^ mgmt_buffers/la_buf[72]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.25 ^ mprj/la_data_in[55] (user_project_wrapper) + 9.25 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38561_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[67] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.82 ^ soc/core/_38561_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.37 8.19 v soc/core/_38561_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.14 8.33 ^ soc/core/_21288_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.48 ^ soc/core/output464/X (sky130_fd_sc_hd__clkbuf_4) + 0.29 8.77 ^ mgmt_buffers/input472/X (sky130_fd_sc_hd__buf_2) + 0.30 9.07 v mgmt_buffers/la_buf_enable[60]/X (sky130_fd_sc_hd__and2b_1) + 0.19 9.25 v mgmt_buffers/la_buf[60]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.25 v mprj/la_data_in[67] (user_project_wrapper) + 9.25 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38540_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[88] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.79 ^ soc/core/_38540_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.47 8.26 ^ soc/core/_38540_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.18 8.44 v soc/core/_21358_/Y (sky130_fd_sc_hd__clkinv_2) + 0.18 8.62 v soc/core/output440/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 8.81 v mgmt_buffers/input448/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.27 9.08 ^ mgmt_buffers/la_buf_enable[39]/X (sky130_fd_sc_hd__and2b_1) + 0.16 9.24 ^ mgmt_buffers/la_buf[39]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.24 ^ mprj/la_data_in[88] (user_project_wrapper) + 9.24 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38502_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[62] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.83 ^ soc/core/_38502_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.50 8.33 ^ soc/core/_38502_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.10 8.42 v soc/core/_32232_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.57 v soc/core/output469/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 8.76 v mgmt_buffers/input477/X (sky130_fd_sc_hd__clkbuf_2) + 0.31 9.07 ^ mgmt_buffers/la_buf_enable[65]/X (sky130_fd_sc_hd__and2b_1) + 0.17 9.24 ^ mgmt_buffers/la_buf[65]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.24 ^ mprj/la_data_in[62] (user_project_wrapper) + 9.24 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38511_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[53] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.83 ^ soc/core/_38511_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.41 8.24 v soc/core/_38511_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.14 8.38 ^ soc/core/_32241_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.53 ^ soc/core/output479/X (sky130_fd_sc_hd__clkbuf_4) + 0.25 8.78 ^ mgmt_buffers/input487/X (sky130_fd_sc_hd__buf_2) + 0.27 9.05 v mgmt_buffers/la_buf_enable[74]/X (sky130_fd_sc_hd__and2b_1) + 0.18 9.23 v mgmt_buffers/la_buf[74]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.23 v mprj/la_data_in[53] (user_project_wrapper) + 9.23 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38543_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[85] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.77 ^ soc/core/_38543_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.49 8.27 ^ soc/core/_38543_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.12 8.38 v soc/core/_21348_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.53 v soc/core/output444/X (sky130_fd_sc_hd__clkbuf_4) + 0.22 8.76 v mgmt_buffers/input452/X (sky130_fd_sc_hd__clkbuf_4) + 0.30 9.06 ^ mgmt_buffers/la_buf_enable[42]/X (sky130_fd_sc_hd__and2b_1) + 0.16 9.22 ^ mgmt_buffers/la_buf[42]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.22 ^ mprj/la_data_in[85] (user_project_wrapper) + 9.22 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38507_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[57] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.82 ^ soc/core/_38507_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.40 8.23 v soc/core/_38507_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.13 8.35 ^ soc/core/_32237_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.50 ^ soc/core/output475/X (sky130_fd_sc_hd__clkbuf_4) + 0.25 8.75 ^ mgmt_buffers/input483/X (sky130_fd_sc_hd__buf_2) + 0.28 9.03 v mgmt_buffers/la_buf_enable[70]/X (sky130_fd_sc_hd__and2b_1) + 0.19 9.22 v mgmt_buffers/la_buf[70]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.22 v mprj/la_data_in[57] (user_project_wrapper) + 9.22 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38538_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[90] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.79 ^ soc/core/_38538_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.50 8.29 ^ soc/core/_38538_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.12 8.41 v soc/core/_21366_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.56 v soc/core/output438/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 8.72 v mgmt_buffers/input446/X (sky130_fd_sc_hd__clkbuf_2) + 0.32 9.04 ^ mgmt_buffers/la_buf_enable[37]/X (sky130_fd_sc_hd__and2b_1) + 0.19 9.22 ^ mgmt_buffers/la_buf[37]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.22 ^ mprj/la_data_in[90] (user_project_wrapper) + 9.22 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38541_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[87] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.80 ^ soc/core/_38541_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.51 8.31 ^ soc/core/_38541_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.11 8.42 v soc/core/_21355_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.57 v soc/core/output442/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 8.77 v mgmt_buffers/input450/X (sky130_fd_sc_hd__buf_4) + 0.29 9.06 ^ mgmt_buffers/la_buf_enable[40]/X (sky130_fd_sc_hd__and2b_1) + 0.16 9.22 ^ mgmt_buffers/la_buf[40]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.22 ^ mprj/la_data_in[87] (user_project_wrapper) + 9.22 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38514_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[50] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.83 ^ soc/core/_38514_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.51 8.34 ^ soc/core/_38514_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.06 8.40 v soc/core/_32244_/Y (sky130_fd_sc_hd__inv_2) + 0.13 8.53 v soc/core/output482/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 8.74 v mgmt_buffers/input490/X (sky130_fd_sc_hd__clkbuf_4) + 0.30 9.03 ^ mgmt_buffers/la_buf_enable[77]/X (sky130_fd_sc_hd__and2b_1) + 0.17 9.21 ^ mgmt_buffers/la_buf[77]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.21 ^ mprj/la_data_in[50] (user_project_wrapper) + 9.21 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38570_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[122] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.77 ^ soc/core/_38570_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.50 8.27 ^ soc/core/_38570_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.18 8.45 v soc/core/_21258_/Y (sky130_fd_sc_hd__clkinv_2) + 0.17 8.62 v soc/core/output463/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 8.78 v mgmt_buffers/input471/X (sky130_fd_sc_hd__buf_2) + 0.26 9.04 ^ mgmt_buffers/la_buf_enable[5]/X (sky130_fd_sc_hd__and2b_1) + 0.16 9.21 ^ mgmt_buffers/la_buf[5]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.21 ^ mprj/la_data_in[122] (user_project_wrapper) + 9.21 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38553_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[75] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.80 ^ soc/core/_38553_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.47 8.27 ^ soc/core/_38553_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.11 8.38 v soc/core/_21315_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.53 v soc/core/output455/X (sky130_fd_sc_hd__clkbuf_4) + 0.18 8.71 v mgmt_buffers/input463/X (sky130_fd_sc_hd__clkbuf_2) + 0.30 9.01 ^ mgmt_buffers/la_buf_enable[52]/X (sky130_fd_sc_hd__and2b_1) + 0.17 9.18 ^ mgmt_buffers/la_buf[52]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.18 ^ mprj/la_data_in[75] (user_project_wrapper) + 9.18 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38563_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[65] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.82 ^ soc/core/_38563_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.40 8.22 ^ soc/core/_38563_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.15 8.37 v soc/core/_21282_/Y (sky130_fd_sc_hd__clkinv_2) + 0.17 8.54 v soc/core/output466/X (sky130_fd_sc_hd__clkbuf_4) + 0.19 8.72 v mgmt_buffers/input474/X (sky130_fd_sc_hd__buf_2) + 0.29 9.01 ^ mgmt_buffers/la_buf_enable[62]/X (sky130_fd_sc_hd__and2b_1) + 0.17 9.18 ^ mgmt_buffers/la_buf[62]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.18 ^ mprj/la_data_in[65] (user_project_wrapper) + 9.18 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38504_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[60] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.80 ^ soc/core/_38504_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.50 8.30 ^ soc/core/_38504_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.09 8.39 v soc/core/_32234_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.54 v soc/core/output471/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 8.73 v mgmt_buffers/input479/X (sky130_fd_sc_hd__buf_4) + 0.27 9.01 ^ mgmt_buffers/la_buf_enable[67]/X (sky130_fd_sc_hd__and2b_1) + 0.17 9.17 ^ mgmt_buffers/la_buf[67]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.17 ^ mprj/la_data_in[60] (user_project_wrapper) + 9.17 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38547_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[81] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.80 ^ soc/core/_38547_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.38 8.18 v soc/core/_38547_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.14 8.32 ^ soc/core/_21336_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.48 ^ soc/core/output448/X (sky130_fd_sc_hd__clkbuf_4) + 0.23 8.70 ^ mgmt_buffers/input456/X (sky130_fd_sc_hd__buf_4) + 0.28 8.99 v mgmt_buffers/la_buf_enable[46]/X (sky130_fd_sc_hd__and2b_1) + 0.19 9.17 v mgmt_buffers/la_buf[46]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.17 v mprj/la_data_in[81] (user_project_wrapper) + 9.17 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38536_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[92] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.79 ^ soc/core/_38536_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.50 8.30 ^ soc/core/_38536_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.11 8.41 v soc/core/_21372_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.56 v soc/core/output436/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 8.72 v mgmt_buffers/input444/X (sky130_fd_sc_hd__clkbuf_2) + 0.28 9.00 ^ mgmt_buffers/la_buf_enable[35]/X (sky130_fd_sc_hd__and2b_1) + 0.17 9.17 ^ mgmt_buffers/la_buf[35]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.17 ^ mprj/la_data_in[92] (user_project_wrapper) + 9.17 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38501_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[63] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.80 ^ soc/core/_38501_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.50 8.29 ^ soc/core/_38501_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.09 8.39 v soc/core/_32231_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.53 v soc/core/output468/X (sky130_fd_sc_hd__clkbuf_4) + 0.18 8.71 v mgmt_buffers/input476/X (sky130_fd_sc_hd__buf_2) + 0.29 9.00 ^ mgmt_buffers/la_buf_enable[64]/X (sky130_fd_sc_hd__and2b_1) + 0.17 9.17 ^ mgmt_buffers/la_buf[64]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.17 ^ mprj/la_data_in[63] (user_project_wrapper) + 9.17 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38592_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[100] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.79 ^ soc/core/_38592_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.43 8.22 ^ soc/core/_38592_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.16 8.38 v soc/core/_21183_/Y (sky130_fd_sc_hd__clkinv_2) + 0.17 8.55 v soc/core/output427/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 8.73 v mgmt_buffers/input435/X (sky130_fd_sc_hd__buf_2) + 0.27 9.00 ^ mgmt_buffers/la_buf_enable[27]/X (sky130_fd_sc_hd__and2b_1) + 0.16 9.16 ^ mgmt_buffers/la_buf[27]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.16 ^ mprj/la_data_in[100] (user_project_wrapper) + 9.16 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38554_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[74] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.80 ^ soc/core/_38554_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.38 8.19 v soc/core/_38554_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.12 8.31 ^ soc/core/_21312_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.45 ^ soc/core/output456/X (sky130_fd_sc_hd__clkbuf_4) + 0.25 8.70 ^ mgmt_buffers/input464/X (sky130_fd_sc_hd__buf_2) + 0.28 8.98 v mgmt_buffers/la_buf_enable[53]/X (sky130_fd_sc_hd__and2b_1) + 0.18 9.16 v mgmt_buffers/la_buf[53]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.16 v mprj/la_data_in[74] (user_project_wrapper) + 9.16 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38503_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[61] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.83 ^ soc/core/_38503_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.44 8.27 ^ soc/core/_38503_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.08 8.35 v soc/core/_32233_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.49 v soc/core/output470/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 8.69 v mgmt_buffers/input478/X (sky130_fd_sc_hd__buf_4) + 0.30 8.98 ^ mgmt_buffers/la_buf_enable[66]/X (sky130_fd_sc_hd__and2b_1) + 0.17 9.16 ^ mgmt_buffers/la_buf[66]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.16 ^ mprj/la_data_in[61] (user_project_wrapper) + 9.16 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38548_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[80] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.80 ^ soc/core/_38548_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.38 8.18 v soc/core/_38548_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.14 8.32 ^ soc/core/_21331_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.48 ^ soc/core/output449/X (sky130_fd_sc_hd__clkbuf_4) + 0.23 8.70 ^ mgmt_buffers/input457/X (sky130_fd_sc_hd__buf_4) + 0.27 8.98 v mgmt_buffers/la_buf_enable[47]/X (sky130_fd_sc_hd__and2b_1) + 0.18 9.16 v mgmt_buffers/la_buf[47]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.16 v mprj/la_data_in[80] (user_project_wrapper) + 9.16 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38567_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[125] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.81 ^ soc/core/_38567_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.46 8.27 ^ soc/core/_38567_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.13 8.40 v soc/core/_21267_/Y (sky130_fd_sc_hd__clkinv_2) + 0.15 8.55 v soc/core/output430/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 8.73 v mgmt_buffers/input438/X (sky130_fd_sc_hd__buf_2) + 0.27 9.00 ^ mgmt_buffers/la_buf_enable[2]/X (sky130_fd_sc_hd__and2b_1) + 0.16 9.16 ^ mgmt_buffers/la_buf[2]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.16 ^ mprj/la_data_in[125] (user_project_wrapper) + 9.16 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38552_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[76] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.80 ^ soc/core/_38552_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.40 8.20 v soc/core/_38552_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.10 8.31 ^ soc/core/_21318_/Y (sky130_fd_sc_hd__inv_2) + 0.13 8.44 ^ soc/core/output454/X (sky130_fd_sc_hd__clkbuf_4) + 0.26 8.69 ^ mgmt_buffers/input462/X (sky130_fd_sc_hd__buf_2) + 0.28 8.97 v mgmt_buffers/la_buf_enable[51]/X (sky130_fd_sc_hd__and2b_1) + 0.18 9.16 v mgmt_buffers/la_buf[51]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.16 v mprj/la_data_in[76] (user_project_wrapper) + 9.16 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38537_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[91] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.80 ^ soc/core/_38537_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.49 8.28 ^ soc/core/_38537_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.12 8.40 v soc/core/_21369_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.56 v soc/core/output437/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 8.72 v mgmt_buffers/input445/X (sky130_fd_sc_hd__clkbuf_2) + 0.27 9.00 ^ mgmt_buffers/la_buf_enable[36]/X (sky130_fd_sc_hd__and2b_1) + 0.16 9.16 ^ mgmt_buffers/la_buf[36]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.16 ^ mprj/la_data_in[91] (user_project_wrapper) + 9.16 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38564_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[64] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.82 ^ soc/core/_38564_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.42 8.24 ^ soc/core/_38564_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.11 8.35 v soc/core/_21276_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.51 v soc/core/output467/X (sky130_fd_sc_hd__clkbuf_4) + 0.18 8.68 v mgmt_buffers/input475/X (sky130_fd_sc_hd__clkbuf_2) + 0.30 8.98 ^ mgmt_buffers/la_buf_enable[63]/X (sky130_fd_sc_hd__and2b_1) + 0.17 9.15 ^ mgmt_buffers/la_buf[63]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.15 ^ mprj/la_data_in[64] (user_project_wrapper) + 9.15 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38542_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[86] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.81 ^ soc/core/_38542_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.48 8.28 ^ soc/core/_38542_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.10 8.38 v soc/core/_21352_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.52 v soc/core/output443/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 8.69 v mgmt_buffers/input451/X (sky130_fd_sc_hd__clkbuf_2) + 0.29 8.98 ^ mgmt_buffers/la_buf_enable[41]/X (sky130_fd_sc_hd__and2b_1) + 0.17 9.15 ^ mgmt_buffers/la_buf[41]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.15 ^ mprj/la_data_in[86] (user_project_wrapper) + 9.15 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38535_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[93] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.79 ^ soc/core/_38535_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.48 8.27 ^ soc/core/_38535_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.10 8.38 v soc/core/_21375_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.53 v soc/core/output435/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 8.69 v mgmt_buffers/input443/X (sky130_fd_sc_hd__clkbuf_2) + 0.29 8.98 ^ mgmt_buffers/la_buf_enable[34]/X (sky130_fd_sc_hd__and2b_1) + 0.17 9.15 ^ mgmt_buffers/la_buf[34]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.15 ^ mprj/la_data_in[93] (user_project_wrapper) + 9.15 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38558_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[70] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.82 ^ soc/core/_38558_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.46 8.27 ^ soc/core/_38558_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.11 8.39 v soc/core/_21298_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.54 v soc/core/output460/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 8.70 v mgmt_buffers/input468/X (sky130_fd_sc_hd__clkbuf_2) + 0.28 8.97 ^ mgmt_buffers/la_buf_enable[57]/X (sky130_fd_sc_hd__and2b_1) + 0.17 9.14 ^ mgmt_buffers/la_buf[57]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.14 ^ mprj/la_data_in[70] (user_project_wrapper) + 9.14 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38539_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[89] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.79 ^ soc/core/_38539_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.49 8.28 ^ soc/core/_38539_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.10 8.38 v soc/core/_21363_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.53 v soc/core/output439/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 8.69 v mgmt_buffers/input447/X (sky130_fd_sc_hd__clkbuf_2) + 0.28 8.97 ^ mgmt_buffers/la_buf_enable[38]/X (sky130_fd_sc_hd__and2b_1) + 0.17 9.14 ^ mgmt_buffers/la_buf[38]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.14 ^ mprj/la_data_in[89] (user_project_wrapper) + 9.14 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38505_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[59] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.82 ^ soc/core/_38505_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.45 8.28 ^ soc/core/_38505_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.08 8.36 v soc/core/_32235_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.49 v soc/core/output472/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 8.67 v mgmt_buffers/input480/X (sky130_fd_sc_hd__clkbuf_2) + 0.30 8.97 ^ mgmt_buffers/la_buf_enable[68]/X (sky130_fd_sc_hd__and2b_1) + 0.17 9.14 ^ mgmt_buffers/la_buf[68]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.14 ^ mprj/la_data_in[59] (user_project_wrapper) + 9.14 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38559_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[69] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.75 ^ soc/core/_38559_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.36 8.12 v soc/core/_38559_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.13 8.25 ^ soc/core/_21294_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.40 ^ soc/core/output461/X (sky130_fd_sc_hd__clkbuf_4) + 0.27 8.67 ^ mgmt_buffers/input469/X (sky130_fd_sc_hd__buf_2) + 0.29 8.95 v mgmt_buffers/la_buf_enable[58]/X (sky130_fd_sc_hd__and2b_1) + 0.18 9.14 v mgmt_buffers/la_buf[58]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.14 v mprj/la_data_in[69] (user_project_wrapper) + 9.14 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38545_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[83] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.81 ^ soc/core/_38545_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.45 8.26 ^ soc/core/_38545_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.11 8.37 v soc/core/_21342_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.52 v soc/core/output446/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 8.70 v mgmt_buffers/input454/X (sky130_fd_sc_hd__buf_2) + 0.27 8.97 ^ mgmt_buffers/la_buf_enable[44]/X (sky130_fd_sc_hd__and2b_1) + 0.16 9.13 ^ mgmt_buffers/la_buf[44]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.13 ^ mprj/la_data_in[83] (user_project_wrapper) + 9.13 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38571_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[121] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.77 ^ soc/core/_38571_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.50 8.27 ^ soc/core/_38571_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.11 8.38 v soc/core/_21255_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.53 v soc/core/output474/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 8.69 v mgmt_buffers/input482/X (sky130_fd_sc_hd__clkbuf_2) + 0.28 8.97 ^ mgmt_buffers/la_buf_enable[6]/X (sky130_fd_sc_hd__and2b_1) + 0.17 9.13 ^ mgmt_buffers/la_buf[6]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.13 ^ mprj/la_data_in[121] (user_project_wrapper) + 9.13 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38581_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[111] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.76 ^ soc/core/_38581_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.42 8.18 ^ soc/core/_38581_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.16 8.34 v soc/core/_21219_/Y (sky130_fd_sc_hd__clkinv_2) + 0.18 8.52 v soc/core/output415/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 8.69 v mgmt_buffers/input423/X (sky130_fd_sc_hd__clkbuf_2) + 0.28 8.97 ^ mgmt_buffers/la_buf_enable[16]/X (sky130_fd_sc_hd__and2b_1) + 0.16 9.13 ^ mgmt_buffers/la_buf[16]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.13 ^ mprj/la_data_in[111] (user_project_wrapper) + 9.13 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38593_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[99] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.76 ^ soc/core/_38593_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.42 8.19 ^ soc/core/_38593_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.11 8.30 v soc/core/_21180_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.45 v soc/core/output428/X (sky130_fd_sc_hd__clkbuf_4) + 0.21 8.66 v mgmt_buffers/input436/X (sky130_fd_sc_hd__clkbuf_4) + 0.30 8.96 ^ mgmt_buffers/la_buf_enable[28]/X (sky130_fd_sc_hd__and2b_1) + 0.17 9.13 ^ mgmt_buffers/la_buf[28]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.13 ^ mprj/la_data_in[99] (user_project_wrapper) + 9.13 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38506_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[58] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.80 ^ soc/core/_38506_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.47 8.26 ^ soc/core/_38506_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.08 8.34 v soc/core/_32236_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.48 v soc/core/output473/X (sky130_fd_sc_hd__clkbuf_4) + 0.18 8.66 v mgmt_buffers/input481/X (sky130_fd_sc_hd__clkbuf_2) + 0.29 8.95 ^ mgmt_buffers/la_buf_enable[69]/X (sky130_fd_sc_hd__and2b_1) + 0.16 9.11 ^ mgmt_buffers/la_buf[69]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.11 ^ mprj/la_data_in[58] (user_project_wrapper) + 9.11 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38573_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[119] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.76 ^ soc/core/_38573_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.46 8.22 ^ soc/core/_38573_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.14 8.37 v soc/core/_21246_/Y (sky130_fd_sc_hd__inv_2) + 0.17 8.54 v soc/core/output496/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 8.69 v mgmt_buffers/input504/X (sky130_fd_sc_hd__buf_2) + 0.26 8.95 ^ mgmt_buffers/la_buf_enable[8]/X (sky130_fd_sc_hd__and2b_1) + 0.16 9.11 ^ mgmt_buffers/la_buf[8]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.11 ^ mprj/la_data_in[119] (user_project_wrapper) + 9.11 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38595_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[97] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.79 ^ soc/core/_38595_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.37 8.16 v soc/core/_38595_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.12 8.29 ^ soc/core/_21174_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.43 ^ soc/core/output431/X (sky130_fd_sc_hd__clkbuf_4) + 0.22 8.65 ^ mgmt_buffers/input439/X (sky130_fd_sc_hd__buf_2) + 0.27 8.92 v mgmt_buffers/la_buf_enable[30]/X (sky130_fd_sc_hd__and2b_1) + 0.19 9.11 v mgmt_buffers/la_buf[30]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.11 v mprj/la_data_in[97] (user_project_wrapper) + 9.11 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38556_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[72] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.80 ^ soc/core/_38556_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.45 8.26 ^ soc/core/_38556_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.09 8.35 v soc/core/_21304_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.49 v soc/core/output458/X (sky130_fd_sc_hd__clkbuf_4) + 0.18 8.67 v mgmt_buffers/input466/X (sky130_fd_sc_hd__buf_2) + 0.27 8.94 ^ mgmt_buffers/la_buf_enable[55]/X (sky130_fd_sc_hd__and2b_1) + 0.16 9.10 ^ mgmt_buffers/la_buf[55]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.10 ^ mprj/la_data_in[72] (user_project_wrapper) + 9.10 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38550_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[78] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.79 ^ soc/core/_38550_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.41 8.21 ^ soc/core/_38550_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.08 8.28 v soc/core/_21325_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.42 v soc/core/output451/X (sky130_fd_sc_hd__clkbuf_4) + 0.22 8.64 v mgmt_buffers/input459/X (sky130_fd_sc_hd__clkbuf_4) + 0.29 8.93 ^ mgmt_buffers/la_buf_enable[49]/X (sky130_fd_sc_hd__and2b_1) + 0.16 9.09 ^ mgmt_buffers/la_buf[49]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.09 ^ mprj/la_data_in[78] (user_project_wrapper) + 9.09 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38589_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[103] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.77 ^ soc/core/_38589_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.42 8.19 ^ soc/core/_38589_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.10 8.29 v soc/core/_21192_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.43 v soc/core/output424/X (sky130_fd_sc_hd__clkbuf_4) + 0.21 8.64 v mgmt_buffers/input432/X (sky130_fd_sc_hd__clkbuf_4) + 0.29 8.93 ^ mgmt_buffers/la_buf_enable[24]/X (sky130_fd_sc_hd__and2b_1) + 0.16 9.09 ^ mgmt_buffers/la_buf[24]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.09 ^ mprj/la_data_in[103] (user_project_wrapper) + 9.09 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38572_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[120] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.77 ^ soc/core/_38572_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.48 8.26 ^ soc/core/_38572_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.10 8.35 v soc/core/_21249_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.49 v soc/core/output485/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 8.66 v mgmt_buffers/input493/X (sky130_fd_sc_hd__buf_2) + 0.27 8.93 ^ mgmt_buffers/la_buf_enable[7]/X (sky130_fd_sc_hd__and2b_1) + 0.16 9.09 ^ mgmt_buffers/la_buf[7]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.09 ^ mprj/la_data_in[120] (user_project_wrapper) + 9.09 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38590_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[102] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.77 ^ soc/core/_38590_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.44 8.20 ^ soc/core/_38590_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.09 8.30 v soc/core/_21189_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.44 v soc/core/output425/X (sky130_fd_sc_hd__clkbuf_4) + 0.19 8.63 v mgmt_buffers/input433/X (sky130_fd_sc_hd__buf_2) + 0.29 8.92 ^ mgmt_buffers/la_buf_enable[25]/X (sky130_fd_sc_hd__and2b_1) + 0.16 9.09 ^ mgmt_buffers/la_buf[25]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.09 ^ mprj/la_data_in[102] (user_project_wrapper) + 9.09 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38586_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[106] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.77 ^ soc/core/_38586_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.45 8.22 ^ soc/core/_38586_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.10 8.32 v soc/core/_21204_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.46 v soc/core/output421/X (sky130_fd_sc_hd__clkbuf_4) + 0.18 8.64 v mgmt_buffers/input429/X (sky130_fd_sc_hd__buf_2) + 0.28 8.92 ^ mgmt_buffers/la_buf_enable[21]/X (sky130_fd_sc_hd__and2b_1) + 0.16 9.09 ^ mgmt_buffers/la_buf[21]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.09 ^ mprj/la_data_in[106] (user_project_wrapper) + 9.09 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38574_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[118] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.76 ^ soc/core/_38574_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.43 8.20 ^ soc/core/_38574_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.14 8.34 v soc/core/_21243_/Y (sky130_fd_sc_hd__inv_2) + 0.16 8.50 v soc/core/output507/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 8.65 v mgmt_buffers/input515/X (sky130_fd_sc_hd__clkbuf_2) + 0.27 8.92 ^ mgmt_buffers/la_buf_enable[9]/X (sky130_fd_sc_hd__and2b_1) + 0.17 9.08 ^ mgmt_buffers/la_buf[9]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.08 ^ mprj/la_data_in[118] (user_project_wrapper) + 9.08 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38596_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[96] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.79 ^ soc/core/_38596_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.42 8.21 ^ soc/core/_38596_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.09 8.30 v soc/core/_21166_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.44 v soc/core/output432/X (sky130_fd_sc_hd__clkbuf_4) + 0.18 8.62 v mgmt_buffers/input440/X (sky130_fd_sc_hd__buf_2) + 0.29 8.91 ^ mgmt_buffers/la_buf_enable[31]/X (sky130_fd_sc_hd__and2b_1) + 0.17 9.08 ^ mgmt_buffers/la_buf[31]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.08 ^ mprj/la_data_in[96] (user_project_wrapper) + 9.08 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38591_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[101] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.79 ^ soc/core/_38591_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.45 8.24 ^ soc/core/_38591_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.11 8.35 v soc/core/_21186_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.50 v soc/core/output426/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 8.64 v mgmt_buffers/input434/X (sky130_fd_sc_hd__clkbuf_2) + 0.27 8.91 ^ mgmt_buffers/la_buf_enable[26]/X (sky130_fd_sc_hd__and2b_1) + 0.16 9.07 ^ mgmt_buffers/la_buf[26]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.07 ^ mprj/la_data_in[101] (user_project_wrapper) + 9.07 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38576_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[116] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.77 ^ soc/core/_38576_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.45 8.22 ^ soc/core/_38576_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.09 8.32 v soc/core/_21237_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.46 v soc/core/output402/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 8.62 v mgmt_buffers/input410/X (sky130_fd_sc_hd__clkbuf_2) + 0.28 8.90 ^ mgmt_buffers/la_buf_enable[11]/X (sky130_fd_sc_hd__and2b_1) + 0.16 9.07 ^ mgmt_buffers/la_buf[11]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.07 ^ mprj/la_data_in[116] (user_project_wrapper) + 9.07 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38583_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[109] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.75 ^ soc/core/_38583_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.45 8.20 ^ soc/core/_38583_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.09 8.29 v soc/core/_21213_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.43 v soc/core/output417/X (sky130_fd_sc_hd__clkbuf_4) + 0.18 8.60 v mgmt_buffers/input425/X (sky130_fd_sc_hd__clkbuf_2) + 0.29 8.89 ^ mgmt_buffers/la_buf_enable[18]/X (sky130_fd_sc_hd__and2b_1) + 0.16 9.06 ^ mgmt_buffers/la_buf[18]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.06 ^ mprj/la_data_in[109] (user_project_wrapper) + 9.06 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38580_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[112] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.77 ^ soc/core/_38580_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.46 8.23 ^ soc/core/_38580_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.08 8.31 v soc/core/_21222_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.44 v soc/core/output414/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 8.60 v mgmt_buffers/input422/X (sky130_fd_sc_hd__clkbuf_2) + 0.28 8.89 ^ mgmt_buffers/la_buf_enable[15]/X (sky130_fd_sc_hd__and2b_1) + 0.17 9.05 ^ mgmt_buffers/la_buf[15]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.05 ^ mprj/la_data_in[112] (user_project_wrapper) + 9.05 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38594_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[98] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.76 ^ soc/core/_38594_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.44 8.20 ^ soc/core/_38594_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.08 8.28 v soc/core/_21177_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.42 v soc/core/output429/X (sky130_fd_sc_hd__clkbuf_4) + 0.19 8.60 v mgmt_buffers/input437/X (sky130_fd_sc_hd__buf_2) + 0.28 8.89 ^ mgmt_buffers/la_buf_enable[29]/X (sky130_fd_sc_hd__and2b_1) + 0.17 9.05 ^ mgmt_buffers/la_buf[29]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.05 ^ mprj/la_data_in[98] (user_project_wrapper) + 9.05 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38549_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[79] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.79 ^ soc/core/_38549_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.36 8.16 v soc/core/_38549_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.10 8.26 ^ soc/core/_21328_/Y (sky130_fd_sc_hd__inv_2) + 0.13 8.39 ^ soc/core/output450/X (sky130_fd_sc_hd__clkbuf_4) + 0.22 8.61 ^ mgmt_buffers/input458/X (sky130_fd_sc_hd__buf_2) + 0.26 8.87 v mgmt_buffers/la_buf_enable[48]/X (sky130_fd_sc_hd__and2b_1) + 0.18 9.05 v mgmt_buffers/la_buf[48]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.05 v mprj/la_data_in[79] (user_project_wrapper) + 9.05 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38584_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[108] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.77 ^ soc/core/_38584_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.45 8.21 ^ soc/core/_38584_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.09 8.30 v soc/core/_21210_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.44 v soc/core/output418/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 8.60 v mgmt_buffers/input426/X (sky130_fd_sc_hd__clkbuf_2) + 0.28 8.89 ^ mgmt_buffers/la_buf_enable[19]/X (sky130_fd_sc_hd__and2b_1) + 0.17 9.05 ^ mgmt_buffers/la_buf[19]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.05 ^ mprj/la_data_in[108] (user_project_wrapper) + 9.05 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38575_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[117] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.77 ^ soc/core/_38575_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.45 8.22 ^ soc/core/_38575_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.09 8.31 v soc/core/_21240_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.46 v soc/core/output391/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 8.61 v mgmt_buffers/input399/X (sky130_fd_sc_hd__clkbuf_2) + 0.27 8.89 ^ mgmt_buffers/la_buf_enable[10]/X (sky130_fd_sc_hd__and2b_1) + 0.16 9.05 ^ mgmt_buffers/la_buf[10]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.05 ^ mprj/la_data_in[117] (user_project_wrapper) + 9.05 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38544_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[84] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.77 ^ soc/core/_38544_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.40 8.17 ^ soc/core/_38544_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.08 8.25 v soc/core/_21345_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.39 v soc/core/output445/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 8.59 v mgmt_buffers/input453/X (sky130_fd_sc_hd__buf_4) + 0.28 8.88 ^ mgmt_buffers/la_buf_enable[43]/X (sky130_fd_sc_hd__and2b_1) + 0.17 9.04 ^ mgmt_buffers/la_buf[43]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.04 ^ mprj/la_data_in[84] (user_project_wrapper) + 9.04 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38585_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[107] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.77 ^ soc/core/_38585_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.43 8.20 ^ soc/core/_38585_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.08 8.28 v soc/core/_21207_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.42 v soc/core/output420/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 8.59 v mgmt_buffers/input428/X (sky130_fd_sc_hd__clkbuf_2) + 0.28 8.87 ^ mgmt_buffers/la_buf_enable[20]/X (sky130_fd_sc_hd__and2b_1) + 0.17 9.04 ^ mgmt_buffers/la_buf[20]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.04 ^ mprj/la_data_in[107] (user_project_wrapper) + 9.04 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38568_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[124] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.77 ^ soc/core/_38568_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.45 8.22 ^ soc/core/_38568_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.07 8.29 v soc/core/_21264_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.43 v soc/core/output441/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 8.58 v mgmt_buffers/input449/X (sky130_fd_sc_hd__clkbuf_2) + 0.28 8.87 ^ mgmt_buffers/la_buf_enable[3]/X (sky130_fd_sc_hd__and2b_1) + 0.17 9.03 ^ mgmt_buffers/la_buf[3]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.03 ^ mprj/la_data_in[124] (user_project_wrapper) + 9.03 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38569_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[123] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.77 ^ soc/core/_38569_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.46 8.22 ^ soc/core/_38569_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.08 8.30 v soc/core/_21261_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.44 v soc/core/output452/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 8.61 v mgmt_buffers/input460/X (sky130_fd_sc_hd__buf_2) + 0.26 8.87 ^ mgmt_buffers/la_buf_enable[4]/X (sky130_fd_sc_hd__and2b_1) + 0.16 9.03 ^ mgmt_buffers/la_buf[4]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.03 ^ mprj/la_data_in[123] (user_project_wrapper) + 9.03 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38582_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[110] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.77 ^ soc/core/_38582_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.43 8.19 ^ soc/core/_38582_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.08 8.28 v soc/core/_21216_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.41 v soc/core/output416/X (sky130_fd_sc_hd__clkbuf_4) + 0.18 8.59 v mgmt_buffers/input424/X (sky130_fd_sc_hd__buf_2) + 0.28 8.87 ^ mgmt_buffers/la_buf_enable[17]/X (sky130_fd_sc_hd__and2b_1) + 0.16 9.03 ^ mgmt_buffers/la_buf[17]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.03 ^ mprj/la_data_in[110] (user_project_wrapper) + 9.03 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38577_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[115] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.76 ^ soc/core/_38577_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.38 8.15 v soc/core/_38577_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.12 8.26 ^ soc/core/_21234_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.40 ^ soc/core/output411/X (sky130_fd_sc_hd__clkbuf_4) + 0.19 8.59 ^ mgmt_buffers/input419/X (sky130_fd_sc_hd__buf_2) + 0.25 8.85 v mgmt_buffers/la_buf_enable[12]/X (sky130_fd_sc_hd__and2b_1) + 0.18 9.03 v mgmt_buffers/la_buf[12]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.03 v mprj/la_data_in[115] (user_project_wrapper) + 9.03 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38579_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[113] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.76 ^ soc/core/_38579_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.46 8.23 ^ soc/core/_38579_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.08 8.31 v soc/core/_21228_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.44 v soc/core/output413/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 8.61 v mgmt_buffers/input421/X (sky130_fd_sc_hd__buf_2) + 0.26 8.87 ^ mgmt_buffers/la_buf_enable[14]/X (sky130_fd_sc_hd__and2b_1) + 0.16 9.03 ^ mgmt_buffers/la_buf[14]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.03 ^ mprj/la_data_in[113] (user_project_wrapper) + 9.03 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38587_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[105] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.77 ^ soc/core/_38587_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.42 8.19 ^ soc/core/_38587_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.08 8.27 v soc/core/_21201_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.40 v soc/core/output422/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 8.58 v mgmt_buffers/input430/X (sky130_fd_sc_hd__clkbuf_2) + 0.28 8.86 ^ mgmt_buffers/la_buf_enable[22]/X (sky130_fd_sc_hd__and2b_1) + 0.16 9.02 ^ mgmt_buffers/la_buf[22]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.02 ^ mprj/la_data_in[105] (user_project_wrapper) + 9.02 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38461_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[71] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.77 ^ soc/core/_38461_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.47 8.24 ^ soc/core/_38461_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.16 8.39 ^ soc/core/_32448_/X (sky130_fd_sc_hd__clkbuf_1) + 0.14 8.53 ^ soc/core/output587/X (sky130_fd_sc_hd__clkbuf_4) + 0.24 8.77 ^ mgmt_buffers/input211/X (sky130_fd_sc_hd__clkbuf_2) + 0.16 8.93 v mgmt_buffers/_520_/Y (sky130_fd_sc_hd__clkinv_2) + 0.07 9.00 ^ mgmt_buffers/la_buf[56]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.00 ^ mprj/la_data_in[71] (user_project_wrapper) + 9.00 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38578_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[114] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.77 ^ soc/core/_38578_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.43 8.20 ^ soc/core/_38578_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.08 8.27 v soc/core/_21231_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.41 v soc/core/output412/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 8.57 v mgmt_buffers/input420/X (sky130_fd_sc_hd__clkbuf_2) + 0.28 8.84 ^ mgmt_buffers/la_buf_enable[13]/X (sky130_fd_sc_hd__and2b_1) + 0.16 9.00 ^ mgmt_buffers/la_buf[13]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.00 ^ mprj/la_data_in[114] (user_project_wrapper) + 9.00 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38546_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_data_in[82] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.77 ^ soc/core/_38546_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.36 8.13 ^ soc/core/_38546_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.06 8.19 v soc/core/_21339_/Y (sky130_fd_sc_hd__inv_2) + 0.13 8.32 v soc/core/output447/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 8.49 v mgmt_buffers/input455/X (sky130_fd_sc_hd__clkbuf_2) + 0.29 8.78 ^ mgmt_buffers/la_buf_enable[45]/X (sky130_fd_sc_hd__and2b_1) + 0.17 8.95 ^ mgmt_buffers/la_buf[45]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.95 ^ mprj/la_data_in[82] (user_project_wrapper) + 8.95 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39196_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[18] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.98 ^ soc/core/_39196_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.41 8.38 ^ soc/core/_39196_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.61 8.99 ^ soc/core/hold2666/X (sky130_fd_sc_hd__dlygate4sd3_1) + 0.07 9.06 v soc/core/_19114_/Y (sky130_fd_sc_hd__inv_2) + 0.13 9.19 v soc/core/output390/X (sky130_fd_sc_hd__clkbuf_4) + 0.21 9.40 v mgmt_buffers/input398/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 9.54 ^ mgmt_buffers/_372_/Y (sky130_fd_sc_hd__inv_2) + 0.04 9.58 v mgmt_buffers/user_to_mprj_oen_buffers[109]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.58 v mprj/la_oenb[18] (user_project_wrapper) + 9.58 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38560_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[68] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.82 ^ soc/core/_38560_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.41 8.23 ^ soc/core/_38560_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.61 8.83 ^ soc/core/hold2653/X (sky130_fd_sc_hd__dlygate4sd3_1) + 0.13 8.97 v soc/core/_21291_/Y (sky130_fd_sc_hd__clkinv_2) + 0.17 9.14 v soc/core/output462/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 9.30 v mgmt_buffers/input470/X (sky130_fd_sc_hd__clkbuf_2) + 0.22 9.52 ^ mgmt_buffers/_651_/Y (sky130_fd_sc_hd__inv_2) + 0.05 9.57 v mgmt_buffers/user_to_mprj_oen_buffers[59]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.57 v mprj/la_oenb[68] (user_project_wrapper) + 9.57 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38555_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[73] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.80 ^ soc/core/_38555_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.38 8.18 v soc/core/_38555_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.60 8.79 v soc/core/hold1993/X (sky130_fd_sc_hd__dlygate4sd3_1) + 0.10 8.89 ^ soc/core/_21309_/Y (sky130_fd_sc_hd__inv_2) + 0.14 9.02 ^ soc/core/output457/X (sky130_fd_sc_hd__clkbuf_4) + 0.26 9.28 ^ mgmt_buffers/input465/X (sky130_fd_sc_hd__clkbuf_2) + 0.18 9.45 v mgmt_buffers/_646_/Y (sky130_fd_sc_hd__inv_2) + 0.09 9.54 ^ mgmt_buffers/user_to_mprj_oen_buffers[54]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.54 ^ mprj/la_oenb[73] (user_project_wrapper) + 9.54 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38551_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[77] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.81 ^ soc/core/_38551_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.45 8.26 ^ soc/core/_38551_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.63 8.89 ^ soc/core/hold2359/X (sky130_fd_sc_hd__dlygate4sd3_1) + 0.07 8.96 v soc/core/_21321_/Y (sky130_fd_sc_hd__inv_2) + 0.13 9.09 v soc/core/output453/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 9.29 v mgmt_buffers/input461/X (sky130_fd_sc_hd__clkbuf_2) + 0.21 9.50 ^ mgmt_buffers/_642_/Y (sky130_fd_sc_hd__inv_2) + 0.04 9.54 v mgmt_buffers/user_to_mprj_oen_buffers[50]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.54 v mprj/la_oenb[77] (user_project_wrapper) + 9.54 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39187_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[27] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.06 ^ soc/core/_39187_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.61 8.67 ^ soc/core/_39187_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.23 8.90 v soc/core/_19145_/Y (sky130_fd_sc_hd__clkinv_2) + 0.19 9.09 v soc/core/output381/X (sky130_fd_sc_hd__clkbuf_4) + 0.21 9.30 v mgmt_buffers/input389/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 9.45 ^ mgmt_buffers/_363_/Y (sky130_fd_sc_hd__inv_2) + 0.04 9.49 v mgmt_buffers/user_to_mprj_oen_buffers[100]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.49 v mprj/la_oenb[27] (user_project_wrapper) + 9.49 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39184_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[30] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.09 ^ soc/core/_39184_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.59 8.68 ^ soc/core/_39184_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.23 8.91 v soc/core/_19154_/Y (sky130_fd_sc_hd__clkinv_2) + 0.19 9.10 v soc/core/output504/X (sky130_fd_sc_hd__clkbuf_4) + 0.19 9.29 v mgmt_buffers/input512/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 9.43 ^ mgmt_buffers/_360_/Y (sky130_fd_sc_hd__inv_2) + 0.04 9.46 v mgmt_buffers/user_to_mprj_oen_buffers[97]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.46 v mprj/la_oenb[30] (user_project_wrapper) + 9.46 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38562_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[66] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.82 ^ soc/core/_38562_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.38 8.20 v soc/core/_38562_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.61 8.81 v soc/core/hold2592/X (sky130_fd_sc_hd__dlygate4sd3_1) + 0.12 8.92 ^ soc/core/_21285_/Y (sky130_fd_sc_hd__inv_2) + 0.14 9.07 ^ soc/core/output465/X (sky130_fd_sc_hd__clkbuf_4) + 0.22 9.29 ^ mgmt_buffers/input473/X (sky130_fd_sc_hd__buf_2) + 0.10 9.39 v mgmt_buffers/_653_/Y (sky130_fd_sc_hd__inv_2) + 0.06 9.45 ^ mgmt_buffers/user_to_mprj_oen_buffers[61]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.45 ^ mprj/la_oenb[66] (user_project_wrapper) + 9.45 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39186_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[28] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.06 ^ soc/core/_39186_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.61 8.67 ^ soc/core/_39186_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.16 8.82 v soc/core/_19148_/Y (sky130_fd_sc_hd__inv_2) + 0.17 8.99 v soc/core/output506/X (sky130_fd_sc_hd__clkbuf_4) + 0.22 9.21 v mgmt_buffers/input514/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 9.36 ^ mgmt_buffers/_362_/Y (sky130_fd_sc_hd__inv_2) + 0.04 9.40 v mgmt_buffers/user_to_mprj_oen_buffers[99]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.40 v mprj/la_oenb[28] (user_project_wrapper) + 9.40 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39190_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[24] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.05 ^ soc/core/_39190_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.66 8.70 ^ soc/core/_39190_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.13 8.84 v soc/core/_19134_/Y (sky130_fd_sc_hd__inv_2) + 0.16 9.00 v soc/core/output384/X (sky130_fd_sc_hd__clkbuf_4) + 0.21 9.22 v mgmt_buffers/input392/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 9.36 ^ mgmt_buffers/_366_/Y (sky130_fd_sc_hd__clkinv_2) + 0.04 9.40 v mgmt_buffers/user_to_mprj_oen_buffers[103]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.40 v mprj/la_oenb[24] (user_project_wrapper) + 9.40 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38588_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[104] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.77 ^ soc/core/_38588_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.37 8.13 v soc/core/_38588_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.60 8.73 v soc/core/hold2529/X (sky130_fd_sc_hd__dlygate4sd3_1) + 0.10 8.84 ^ soc/core/_21195_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.97 ^ soc/core/output423/X (sky130_fd_sc_hd__clkbuf_4) + 0.19 9.16 ^ mgmt_buffers/input431/X (sky130_fd_sc_hd__buf_2) + 0.14 9.30 v mgmt_buffers/_615_/Y (sky130_fd_sc_hd__inv_2) + 0.08 9.38 ^ mgmt_buffers/user_to_mprj_oen_buffers[23]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.38 ^ mprj/la_oenb[104] (user_project_wrapper) + 9.38 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39188_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[26] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.05 ^ soc/core/_39188_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.63 8.68 ^ soc/core/_39188_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.15 8.83 v soc/core/_19142_/Y (sky130_fd_sc_hd__inv_2) + 0.17 9.00 v soc/core/output382/X (sky130_fd_sc_hd__clkbuf_4) + 0.21 9.20 v mgmt_buffers/input390/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 9.34 ^ mgmt_buffers/_364_/Y (sky130_fd_sc_hd__clkinv_2) + 0.04 9.37 v mgmt_buffers/user_to_mprj_oen_buffers[101]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.37 v mprj/la_oenb[26] (user_project_wrapper) + 9.37 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39183_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[31] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.09 ^ soc/core/_39183_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.62 8.71 ^ soc/core/_39183_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.14 8.85 v soc/core/_19157_/Y (sky130_fd_sc_hd__inv_2) + 0.17 9.01 v soc/core/output503/X (sky130_fd_sc_hd__clkbuf_4) + 0.18 9.19 v mgmt_buffers/input511/X (sky130_fd_sc_hd__clkbuf_2) + 0.14 9.33 ^ mgmt_buffers/_359_/Y (sky130_fd_sc_hd__inv_2) + 0.04 9.36 v mgmt_buffers/user_to_mprj_oen_buffers[96]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.36 v mprj/la_oenb[31] (user_project_wrapper) + 9.36 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39214_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[0] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.09 ^ soc/core/_39214_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.47 8.56 ^ soc/core/_39214_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.18 8.74 v soc/core/_19048_/Y (sky130_fd_sc_hd__clkinv_8) + 0.21 8.95 v soc/core/output410/X (sky130_fd_sc_hd__clkbuf_4) + 0.22 9.18 v mgmt_buffers/input418/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 9.32 ^ mgmt_buffers/_390_/Y (sky130_fd_sc_hd__inv_2) + 0.04 9.36 v mgmt_buffers/user_to_mprj_oen_buffers[127]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.36 v mprj/la_oenb[0] (user_project_wrapper) + 9.36 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39210_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[4] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.09 ^ soc/core/_39210_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.42 8.51 v soc/core/_39210_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.18 8.69 ^ soc/core/_19065_/Y (sky130_fd_sc_hd__inv_6) + 0.21 8.90 ^ soc/core/output406/X (sky130_fd_sc_hd__clkbuf_4) + 0.22 9.12 ^ mgmt_buffers/input414/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 9.27 v mgmt_buffers/_386_/Y (sky130_fd_sc_hd__clkinv_2) + 0.07 9.34 ^ mgmt_buffers/user_to_mprj_oen_buffers[123]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.34 ^ mprj/la_oenb[4] (user_project_wrapper) + 9.34 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39189_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[25] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.05 ^ soc/core/_39189_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.57 8.62 ^ soc/core/_39189_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.12 8.74 v soc/core/_19139_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.89 v soc/core/output383/X (sky130_fd_sc_hd__clkbuf_4) + 0.22 9.12 v mgmt_buffers/input391/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 9.28 ^ mgmt_buffers/_365_/Y (sky130_fd_sc_hd__inv_2) + 0.04 9.31 v mgmt_buffers/user_to_mprj_oen_buffers[102]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.31 v mprj/la_oenb[25] (user_project_wrapper) + 9.31 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39209_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[5] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.06 ^ soc/core/_39209_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.42 8.48 v soc/core/_39209_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.19 8.67 ^ soc/core/_19068_/Y (sky130_fd_sc_hd__inv_6) + 0.21 8.88 ^ soc/core/output405/X (sky130_fd_sc_hd__clkbuf_4) + 0.21 9.09 ^ mgmt_buffers/input413/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 9.23 v mgmt_buffers/_385_/Y (sky130_fd_sc_hd__clkinv_2) + 0.07 9.30 ^ mgmt_buffers/user_to_mprj_oen_buffers[122]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.30 ^ mprj/la_oenb[5] (user_project_wrapper) + 9.30 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39185_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[29] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.06 ^ soc/core/_39185_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.55 8.61 ^ soc/core/_39185_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.13 8.74 v soc/core/_19151_/Y (sky130_fd_sc_hd__inv_2) + 0.16 8.90 v soc/core/output505/X (sky130_fd_sc_hd__clkbuf_4) + 0.21 9.11 v mgmt_buffers/input513/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 9.26 ^ mgmt_buffers/_361_/Y (sky130_fd_sc_hd__inv_2) + 0.04 9.30 v mgmt_buffers/user_to_mprj_oen_buffers[98]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.30 v mprj/la_oenb[29] (user_project_wrapper) + 9.30 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38532_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[32] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.11 ^ soc/core/_38532_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.58 8.69 ^ soc/core/_38532_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.06 8.75 v soc/core/_32262_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.89 v soc/core/output502/X (sky130_fd_sc_hd__clkbuf_4) + 0.22 9.11 v mgmt_buffers/input510/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 9.25 ^ mgmt_buffers/_358_/Y (sky130_fd_sc_hd__inv_2) + 0.04 9.29 v mgmt_buffers/user_to_mprj_oen_buffers[95]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.29 v mprj/la_oenb[32] (user_project_wrapper) + 9.29 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39211_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[3] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.09 ^ soc/core/_39211_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.47 8.55 ^ soc/core/_39211_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.17 8.72 v soc/core/_19062_/Y (sky130_fd_sc_hd__clkinv_8) + 0.18 8.90 v soc/core/output407/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 9.11 v mgmt_buffers/input415/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 9.25 ^ mgmt_buffers/_387_/Y (sky130_fd_sc_hd__inv_2) + 0.04 9.29 v mgmt_buffers/user_to_mprj_oen_buffers[124]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.29 v mprj/la_oenb[3] (user_project_wrapper) + 9.29 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39213_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[1] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.09 ^ soc/core/_39213_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.46 8.55 ^ soc/core/_39213_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.15 8.70 v soc/core/_19055_/Y (sky130_fd_sc_hd__clkinv_8) + 0.19 8.89 v soc/core/output409/X (sky130_fd_sc_hd__clkbuf_4) + 0.22 9.11 v mgmt_buffers/input417/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 9.25 ^ mgmt_buffers/_389_/Y (sky130_fd_sc_hd__inv_2) + 0.04 9.28 v mgmt_buffers/user_to_mprj_oen_buffers[126]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.28 v mprj/la_oenb[1] (user_project_wrapper) + 9.28 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39212_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[2] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.09 ^ soc/core/_39212_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.42 8.51 v soc/core/_39212_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.11 8.62 ^ soc/core/_19058_/Y (sky130_fd_sc_hd__clkinv_8) + 0.20 8.82 ^ soc/core/output408/X (sky130_fd_sc_hd__clkbuf_4) + 0.24 9.06 ^ mgmt_buffers/input416/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 9.20 v mgmt_buffers/_388_/Y (sky130_fd_sc_hd__clkinv_2) + 0.07 9.27 ^ mgmt_buffers/user_to_mprj_oen_buffers[125]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.27 ^ mprj/la_oenb[2] (user_project_wrapper) + 9.27 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39208_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[6] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.06 ^ soc/core/_39208_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.39 8.44 v soc/core/_39208_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.19 8.63 ^ soc/core/_19071_/Y (sky130_fd_sc_hd__inv_4) + 0.19 8.82 ^ soc/core/output404/X (sky130_fd_sc_hd__clkbuf_4) + 0.21 9.03 ^ mgmt_buffers/input412/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 9.18 v mgmt_buffers/_384_/Y (sky130_fd_sc_hd__clkinv_2) + 0.07 9.25 ^ mgmt_buffers/user_to_mprj_oen_buffers[121]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.25 ^ mprj/la_oenb[6] (user_project_wrapper) + 9.25 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38566_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[126] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.77 ^ soc/core/_38566_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.72 8.48 ^ soc/core/_38566_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.23 8.72 v soc/core/_21270_/Y (sky130_fd_sc_hd__clkinv_2) + 0.19 8.91 v soc/core/output419/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 9.07 v mgmt_buffers/input427/X (sky130_fd_sc_hd__buf_2) + 0.12 9.20 ^ mgmt_buffers/_593_/Y (sky130_fd_sc_hd__clkinv_4) + 0.05 9.25 v mgmt_buffers/user_to_mprj_oen_buffers[1]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.25 v mprj/la_oenb[126] (user_project_wrapper) + 9.25 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38565_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[127] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.77 ^ soc/core/_38565_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.75 8.52 ^ soc/core/_38565_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.23 8.75 v soc/core/_21273_/Y (sky130_fd_sc_hd__clkinv_2) + 0.19 8.94 v soc/core/output380/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 9.10 v mgmt_buffers/input388/X (sky130_fd_sc_hd__clkbuf_2) + 0.11 9.21 ^ mgmt_buffers/_592_/Y (sky130_fd_sc_hd__clkinv_2) + 0.03 9.24 v mgmt_buffers/user_to_mprj_oen_buffers[0]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.24 v mprj/la_oenb[127] (user_project_wrapper) + 9.24 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38526_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[38] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.11 ^ soc/core/_38526_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.41 8.52 v soc/core/_38526_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.10 8.62 ^ soc/core/_32256_/Y (sky130_fd_sc_hd__inv_2) + 0.13 8.75 ^ soc/core/output495/X (sky130_fd_sc_hd__clkbuf_4) + 0.24 8.99 ^ mgmt_buffers/input503/X (sky130_fd_sc_hd__buf_4) + 0.17 9.16 v mgmt_buffers/_352_/Y (sky130_fd_sc_hd__clkinv_2) + 0.08 9.24 ^ mgmt_buffers/user_to_mprj_oen_buffers[89]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.24 ^ mprj/la_oenb[38] (user_project_wrapper) + 9.24 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38531_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[33] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.11 ^ soc/core/_38531_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.52 8.63 ^ soc/core/_38531_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.05 8.68 v soc/core/_32261_/Y (sky130_fd_sc_hd__inv_2) + 0.13 8.82 v soc/core/output501/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 9.02 v mgmt_buffers/input509/X (sky130_fd_sc_hd__buf_4) + 0.16 9.18 ^ mgmt_buffers/_357_/Y (sky130_fd_sc_hd__inv_2) + 0.04 9.22 v mgmt_buffers/user_to_mprj_oen_buffers[94]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.22 v mprj/la_oenb[33] (user_project_wrapper) + 9.22 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38529_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[35] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.11 ^ soc/core/_38529_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.42 8.53 v soc/core/_38529_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.08 8.61 ^ soc/core/_32259_/Y (sky130_fd_sc_hd__inv_2) + 0.12 8.73 ^ soc/core/output499/X (sky130_fd_sc_hd__clkbuf_4) + 0.25 8.97 ^ mgmt_buffers/input507/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 9.14 v mgmt_buffers/_355_/Y (sky130_fd_sc_hd__clkinv_2) + 0.07 9.21 ^ mgmt_buffers/user_to_mprj_oen_buffers[92]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.21 ^ mprj/la_oenb[35] (user_project_wrapper) + 9.21 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38528_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[36] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.11 ^ soc/core/_38528_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.43 8.54 v soc/core/_38528_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.08 8.62 ^ soc/core/_32258_/Y (sky130_fd_sc_hd__inv_2) + 0.12 8.74 ^ soc/core/output498/X (sky130_fd_sc_hd__clkbuf_4) + 0.23 8.97 ^ mgmt_buffers/input506/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 9.13 v mgmt_buffers/_354_/Y (sky130_fd_sc_hd__clkinv_2) + 0.07 9.20 ^ mgmt_buffers/user_to_mprj_oen_buffers[91]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.20 ^ mprj/la_oenb[36] (user_project_wrapper) + 9.20 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38530_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[34] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.11 ^ soc/core/_38530_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.51 8.62 ^ soc/core/_38530_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.06 8.68 v soc/core/_32260_/Y (sky130_fd_sc_hd__inv_2) + 0.13 8.82 v soc/core/output500/X (sky130_fd_sc_hd__clkbuf_4) + 0.19 9.01 v mgmt_buffers/input508/X (sky130_fd_sc_hd__buf_2) + 0.14 9.16 ^ mgmt_buffers/_356_/Y (sky130_fd_sc_hd__inv_2) + 0.04 9.19 v mgmt_buffers/user_to_mprj_oen_buffers[93]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.19 v mprj/la_oenb[34] (user_project_wrapper) + 9.19 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38527_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[37] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.11 ^ soc/core/_38527_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.43 8.53 v soc/core/_38527_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.08 8.61 ^ soc/core/_32257_/Y (sky130_fd_sc_hd__inv_2) + 0.12 8.73 ^ soc/core/output497/X (sky130_fd_sc_hd__clkbuf_4) + 0.23 8.96 ^ mgmt_buffers/input505/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 9.11 v mgmt_buffers/_353_/Y (sky130_fd_sc_hd__clkinv_2) + 0.07 9.18 ^ mgmt_buffers/user_to_mprj_oen_buffers[90]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.18 ^ mprj/la_oenb[37] (user_project_wrapper) + 9.18 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39207_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[7] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.06 ^ soc/core/_39207_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.38 8.44 v soc/core/_39207_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.19 8.63 ^ soc/core/_19075_/Y (sky130_fd_sc_hd__inv_4) + 0.20 8.83 ^ soc/core/output403/X (sky130_fd_sc_hd__clkbuf_4) + 0.19 9.02 ^ mgmt_buffers/input411/X (sky130_fd_sc_hd__clkbuf_2) + 0.10 9.11 v mgmt_buffers/_383_/Y (sky130_fd_sc_hd__inv_2) + 0.06 9.17 ^ mgmt_buffers/user_to_mprj_oen_buffers[120]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.17 ^ mprj/la_oenb[7] (user_project_wrapper) + 9.17 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38524_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[40] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.07 ^ soc/core/_38524_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.43 8.50 ^ soc/core/_38524_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.05 8.54 v soc/core/_32254_/Y (sky130_fd_sc_hd__inv_2) + 0.13 8.67 v soc/core/output493/X (sky130_fd_sc_hd__clkbuf_4) + 0.23 8.90 v mgmt_buffers/input501/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 9.05 ^ mgmt_buffers/_350_/Y (sky130_fd_sc_hd__inv_2) + 0.04 9.09 v mgmt_buffers/user_to_mprj_oen_buffers[87]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.09 v mprj/la_oenb[40] (user_project_wrapper) + 9.09 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39205_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[9] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.00 ^ soc/core/_39205_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.45 8.45 ^ soc/core/_39205_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.09 8.54 v soc/core/_19083_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.68 v soc/core/output400/X (sky130_fd_sc_hd__clkbuf_4) + 0.21 8.89 v mgmt_buffers/input408/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 9.04 ^ mgmt_buffers/_381_/Y (sky130_fd_sc_hd__inv_2) + 0.04 9.08 v mgmt_buffers/user_to_mprj_oen_buffers[118]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.08 v mprj/la_oenb[9] (user_project_wrapper) + 9.08 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39192_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[22] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.98 ^ soc/core/_39192_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.48 8.46 ^ soc/core/_39192_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.10 8.56 v soc/core/_19127_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.71 v soc/core/output386/X (sky130_fd_sc_hd__clkbuf_4) + 0.19 8.90 v mgmt_buffers/input394/X (sky130_fd_sc_hd__buf_4) + 0.14 9.04 ^ mgmt_buffers/_368_/Y (sky130_fd_sc_hd__inv_2) + 0.04 9.07 v mgmt_buffers/user_to_mprj_oen_buffers[105]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.07 v mprj/la_oenb[22] (user_project_wrapper) + 9.07 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38534_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[94] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.80 ^ soc/core/_38534_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.61 8.41 ^ soc/core/_38534_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.14 8.55 v soc/core/_21378_/Y (sky130_fd_sc_hd__inv_2) + 0.16 8.71 v soc/core/output434/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 8.88 v mgmt_buffers/input442/X (sky130_fd_sc_hd__buf_2) + 0.14 9.02 ^ mgmt_buffers/_625_/Y (sky130_fd_sc_hd__clkinv_4) + 0.05 9.07 v mgmt_buffers/user_to_mprj_oen_buffers[33]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.07 v mprj/la_oenb[94] (user_project_wrapper) + 9.07 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38523_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[41] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.07 ^ soc/core/_38523_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.44 8.50 ^ soc/core/_38523_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.05 8.55 v soc/core/_32253_/Y (sky130_fd_sc_hd__inv_2) + 0.13 8.68 v soc/core/output492/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 8.88 v mgmt_buffers/input500/X (sky130_fd_sc_hd__buf_4) + 0.15 9.03 ^ mgmt_buffers/_349_/Y (sky130_fd_sc_hd__inv_2) + 0.04 9.07 v mgmt_buffers/user_to_mprj_oen_buffers[86]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.07 v mprj/la_oenb[41] (user_project_wrapper) + 9.07 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38522_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[42] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.07 ^ soc/core/_38522_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.44 8.50 ^ soc/core/_38522_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.06 8.56 v soc/core/_32252_/Y (sky130_fd_sc_hd__inv_2) + 0.13 8.69 v soc/core/output491/X (sky130_fd_sc_hd__clkbuf_4) + 0.19 8.89 v mgmt_buffers/input499/X (sky130_fd_sc_hd__buf_4) + 0.14 9.02 ^ mgmt_buffers/_348_/Y (sky130_fd_sc_hd__inv_2) + 0.04 9.06 v mgmt_buffers/user_to_mprj_oen_buffers[85]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.06 v mprj/la_oenb[42] (user_project_wrapper) + 9.06 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39206_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[8] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.98 ^ soc/core/_39206_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.42 8.40 ^ soc/core/_39206_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.14 8.54 v soc/core/_19078_/Y (sky130_fd_sc_hd__clkinv_2) + 0.16 8.70 v soc/core/output401/X (sky130_fd_sc_hd__clkbuf_4) + 0.19 8.90 v mgmt_buffers/input409/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 9.02 ^ mgmt_buffers/_382_/Y (sky130_fd_sc_hd__clkinv_2) + 0.04 9.06 v mgmt_buffers/user_to_mprj_oen_buffers[119]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.06 v mprj/la_oenb[8] (user_project_wrapper) + 9.06 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38525_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[39] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.07 ^ soc/core/_38525_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.42 8.49 ^ soc/core/_38525_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.06 8.54 v soc/core/_32255_/Y (sky130_fd_sc_hd__inv_2) + 0.13 8.68 v soc/core/output494/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 8.87 v mgmt_buffers/input502/X (sky130_fd_sc_hd__buf_4) + 0.15 9.02 ^ mgmt_buffers/_351_/Y (sky130_fd_sc_hd__inv_2) + 0.04 9.06 v mgmt_buffers/user_to_mprj_oen_buffers[88]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.06 v mprj/la_oenb[39] (user_project_wrapper) + 9.06 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39203_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[11] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.00 ^ soc/core/_39203_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.38 8.38 v soc/core/_39203_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.09 8.46 ^ soc/core/_19090_/Y (sky130_fd_sc_hd__inv_2) + 0.13 8.59 ^ soc/core/output398/X (sky130_fd_sc_hd__clkbuf_4) + 0.23 8.82 ^ mgmt_buffers/input406/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 8.97 v mgmt_buffers/_379_/Y (sky130_fd_sc_hd__clkinv_2) + 0.07 9.05 ^ mgmt_buffers/user_to_mprj_oen_buffers[116]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.05 ^ mprj/la_oenb[11] (user_project_wrapper) + 9.05 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39204_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[10] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 8.00 ^ soc/core/_39204_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.43 8.43 ^ soc/core/_39204_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.08 8.51 v soc/core/_19086_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.65 v soc/core/output399/X (sky130_fd_sc_hd__clkbuf_4) + 0.21 8.86 v mgmt_buffers/input407/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 9.00 ^ mgmt_buffers/_380_/Y (sky130_fd_sc_hd__inv_2) + 0.04 9.04 v mgmt_buffers/user_to_mprj_oen_buffers[117]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.04 v mprj/la_oenb[10] (user_project_wrapper) + 9.04 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38511_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[53] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.83 ^ soc/core/_38511_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.41 8.24 v soc/core/_38511_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.14 8.38 ^ soc/core/_32241_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.53 ^ soc/core/output479/X (sky130_fd_sc_hd__clkbuf_4) + 0.25 8.78 ^ mgmt_buffers/input487/X (sky130_fd_sc_hd__buf_2) + 0.17 8.95 v mgmt_buffers/_337_/Y (sky130_fd_sc_hd__clkinv_2) + 0.08 9.03 ^ mgmt_buffers/user_to_mprj_oen_buffers[74]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.03 ^ mprj/la_oenb[53] (user_project_wrapper) + 9.03 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39193_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[21] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.98 ^ soc/core/_39193_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.37 8.35 v soc/core/_39193_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.10 8.45 ^ soc/core/_19124_/Y (sky130_fd_sc_hd__inv_2) + 0.13 8.58 ^ soc/core/output387/X (sky130_fd_sc_hd__clkbuf_4) + 0.22 8.80 ^ mgmt_buffers/input395/X (sky130_fd_sc_hd__buf_2) + 0.15 8.96 v mgmt_buffers/_369_/Y (sky130_fd_sc_hd__clkinv_2) + 0.07 9.03 ^ mgmt_buffers/user_to_mprj_oen_buffers[106]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.03 ^ mprj/la_oenb[21] (user_project_wrapper) + 9.03 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38512_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[52] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.83 ^ soc/core/_38512_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.47 8.30 ^ soc/core/_38512_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.18 8.49 v soc/core/_32242_/Y (sky130_fd_sc_hd__clkinv_2) + 0.18 8.66 v soc/core/output480/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 8.87 v mgmt_buffers/input488/X (sky130_fd_sc_hd__buf_2) + 0.12 8.99 ^ mgmt_buffers/_338_/Y (sky130_fd_sc_hd__clkinv_2) + 0.04 9.03 v mgmt_buffers/user_to_mprj_oen_buffers[75]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.03 v mprj/la_oenb[52] (user_project_wrapper) + 9.03 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38515_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[49] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.83 ^ soc/core/_38515_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.39 8.22 v soc/core/_38515_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.17 8.39 ^ soc/core/_32245_/Y (sky130_fd_sc_hd__inv_2) + 0.17 8.56 ^ soc/core/output483/X (sky130_fd_sc_hd__clkbuf_4) + 0.23 8.79 ^ mgmt_buffers/input491/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 8.95 v mgmt_buffers/_341_/Y (sky130_fd_sc_hd__clkinv_2) + 0.08 9.02 ^ mgmt_buffers/user_to_mprj_oen_buffers[78]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.02 ^ mprj/la_oenb[49] (user_project_wrapper) + 9.02 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39200_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[14] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.97 ^ soc/core/_39200_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.43 8.40 ^ soc/core/_39200_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.09 8.50 v soc/core/_19099_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.64 v soc/core/output395/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 8.84 v mgmt_buffers/input403/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 8.99 ^ mgmt_buffers/_376_/Y (sky130_fd_sc_hd__inv_2) + 0.04 9.02 v mgmt_buffers/user_to_mprj_oen_buffers[113]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.02 v mprj/la_oenb[14] (user_project_wrapper) + 9.02 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39198_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[16] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.98 ^ soc/core/_39198_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.44 8.42 ^ soc/core/_39198_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.09 8.51 v soc/core/_19106_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.65 v soc/core/output393/X (sky130_fd_sc_hd__clkbuf_4) + 0.19 8.84 v mgmt_buffers/input401/X (sky130_fd_sc_hd__buf_4) + 0.14 8.98 ^ mgmt_buffers/_374_/Y (sky130_fd_sc_hd__inv_2) + 0.04 9.02 v mgmt_buffers/user_to_mprj_oen_buffers[111]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.02 v mprj/la_oenb[16] (user_project_wrapper) + 9.02 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39197_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[17] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.98 ^ soc/core/_39197_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.42 8.40 ^ soc/core/_39197_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.08 8.48 v soc/core/_19111_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.62 v soc/core/output392/X (sky130_fd_sc_hd__clkbuf_4) + 0.21 8.83 v mgmt_buffers/input400/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 8.98 ^ mgmt_buffers/_373_/Y (sky130_fd_sc_hd__inv_2) + 0.04 9.01 v mgmt_buffers/user_to_mprj_oen_buffers[110]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.01 v mprj/la_oenb[17] (user_project_wrapper) + 9.01 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38516_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[48] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.83 ^ soc/core/_38516_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.39 8.22 v soc/core/_38516_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.15 8.37 ^ soc/core/_32246_/Y (sky130_fd_sc_hd__inv_2) + 0.16 8.53 ^ soc/core/output484/X (sky130_fd_sc_hd__clkbuf_4) + 0.24 8.77 ^ mgmt_buffers/input492/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 8.94 v mgmt_buffers/_342_/Y (sky130_fd_sc_hd__clkinv_2) + 0.08 9.01 ^ mgmt_buffers/user_to_mprj_oen_buffers[79]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.01 ^ mprj/la_oenb[48] (user_project_wrapper) + 9.01 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38533_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[95] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.80 ^ soc/core/_38533_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.59 8.39 ^ soc/core/_38533_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.12 8.50 v soc/core/_21381_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.66 v soc/core/output433/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 8.83 v mgmt_buffers/input441/X (sky130_fd_sc_hd__buf_2) + 0.13 8.96 ^ mgmt_buffers/_624_/Y (sky130_fd_sc_hd__clkinv_4) + 0.05 9.01 v mgmt_buffers/user_to_mprj_oen_buffers[32]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.01 v mprj/la_oenb[95] (user_project_wrapper) + 9.01 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38507_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[57] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.82 ^ soc/core/_38507_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.40 8.23 v soc/core/_38507_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.13 8.35 ^ soc/core/_32237_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.50 ^ soc/core/output475/X (sky130_fd_sc_hd__clkbuf_4) + 0.25 8.75 ^ mgmt_buffers/input483/X (sky130_fd_sc_hd__buf_2) + 0.18 8.93 v mgmt_buffers/_333_/Y (sky130_fd_sc_hd__clkinv_2) + 0.08 9.01 ^ mgmt_buffers/user_to_mprj_oen_buffers[70]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.01 ^ mprj/la_oenb[57] (user_project_wrapper) + 9.01 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39195_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[19] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.98 ^ soc/core/_39195_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.43 8.40 ^ soc/core/_39195_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.08 8.49 v soc/core/_19118_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.62 v soc/core/output389/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 8.82 v mgmt_buffers/input397/X (sky130_fd_sc_hd__buf_4) + 0.15 8.97 ^ mgmt_buffers/_371_/Y (sky130_fd_sc_hd__inv_2) + 0.04 9.00 v mgmt_buffers/user_to_mprj_oen_buffers[108]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.00 v mprj/la_oenb[19] (user_project_wrapper) + 9.00 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39194_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[20] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.98 ^ soc/core/_39194_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.42 8.40 ^ soc/core/_39194_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.08 8.48 v soc/core/_19121_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.61 v soc/core/output388/X (sky130_fd_sc_hd__clkbuf_4) + 0.21 8.82 v mgmt_buffers/input396/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 8.96 ^ mgmt_buffers/_370_/Y (sky130_fd_sc_hd__inv_2) + 0.04 9.00 v mgmt_buffers/user_to_mprj_oen_buffers[107]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.00 v mprj/la_oenb[20] (user_project_wrapper) + 9.00 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38510_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[54] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.83 ^ soc/core/_38510_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.45 8.27 ^ soc/core/_38510_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.17 8.44 v soc/core/_32240_/Y (sky130_fd_sc_hd__clkinv_2) + 0.18 8.62 v soc/core/output478/X (sky130_fd_sc_hd__clkbuf_4) + 0.21 8.83 v mgmt_buffers/input486/X (sky130_fd_sc_hd__clkbuf_4) + 0.13 8.96 ^ mgmt_buffers/_336_/Y (sky130_fd_sc_hd__clkinv_2) + 0.04 9.00 v mgmt_buffers/user_to_mprj_oen_buffers[73]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 9.00 v mprj/la_oenb[54] (user_project_wrapper) + 9.00 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38537_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[91] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.80 ^ soc/core/_38537_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.49 8.28 ^ soc/core/_38537_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.12 8.40 v soc/core/_21369_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.56 v soc/core/output437/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 8.72 v mgmt_buffers/input445/X (sky130_fd_sc_hd__clkbuf_2) + 0.23 8.95 ^ mgmt_buffers/_628_/Y (sky130_fd_sc_hd__inv_2) + 0.05 8.99 v mgmt_buffers/user_to_mprj_oen_buffers[36]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.99 v mprj/la_oenb[91] (user_project_wrapper) + 8.99 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39191_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[23] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.98 ^ soc/core/_39191_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.38 8.36 v soc/core/_39191_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.11 8.46 ^ soc/core/_19131_/Y (sky130_fd_sc_hd__inv_2) + 0.13 8.60 ^ soc/core/output385/X (sky130_fd_sc_hd__clkbuf_4) + 0.19 8.78 ^ mgmt_buffers/input393/X (sky130_fd_sc_hd__buf_2) + 0.14 8.92 v mgmt_buffers/_367_/Y (sky130_fd_sc_hd__clkinv_2) + 0.07 8.99 ^ mgmt_buffers/user_to_mprj_oen_buffers[104]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.99 ^ mprj/la_oenb[23] (user_project_wrapper) + 8.99 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39202_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[12] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.97 ^ soc/core/_39202_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.37 8.34 v soc/core/_39202_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.08 8.42 ^ soc/core/_19093_/Y (sky130_fd_sc_hd__inv_2) + 0.12 8.54 ^ soc/core/output397/X (sky130_fd_sc_hd__clkbuf_4) + 0.22 8.76 ^ mgmt_buffers/input405/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 8.91 v mgmt_buffers/_378_/Y (sky130_fd_sc_hd__clkinv_2) + 0.07 8.99 ^ mgmt_buffers/user_to_mprj_oen_buffers[115]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.99 ^ mprj/la_oenb[12] (user_project_wrapper) + 8.99 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39201_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[13] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.97 ^ soc/core/_39201_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.42 8.39 ^ soc/core/_39201_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.07 8.47 v soc/core/_19096_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.60 v soc/core/output396/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 8.81 v mgmt_buffers/input404/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 8.95 ^ mgmt_buffers/_377_/Y (sky130_fd_sc_hd__inv_2) + 0.04 8.98 v mgmt_buffers/user_to_mprj_oen_buffers[114]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.98 v mprj/la_oenb[13] (user_project_wrapper) + 8.98 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38552_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[76] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.80 ^ soc/core/_38552_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.40 8.20 v soc/core/_38552_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.10 8.31 ^ soc/core/_21318_/Y (sky130_fd_sc_hd__inv_2) + 0.13 8.44 ^ soc/core/output454/X (sky130_fd_sc_hd__clkbuf_4) + 0.26 8.69 ^ mgmt_buffers/input462/X (sky130_fd_sc_hd__buf_2) + 0.19 8.88 v mgmt_buffers/_643_/Y (sky130_fd_sc_hd__clkinv_4) + 0.09 8.98 ^ mgmt_buffers/user_to_mprj_oen_buffers[51]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.98 ^ mprj/la_oenb[76] (user_project_wrapper) + 8.98 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38541_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[87] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.80 ^ soc/core/_38541_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.42 8.23 v soc/core/_38541_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.13 8.35 ^ soc/core/_21355_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.49 ^ soc/core/output442/X (sky130_fd_sc_hd__clkbuf_4) + 0.23 8.72 ^ mgmt_buffers/input450/X (sky130_fd_sc_hd__buf_4) + 0.18 8.90 v mgmt_buffers/_632_/Y (sky130_fd_sc_hd__clkinv_2) + 0.08 8.98 ^ mgmt_buffers/user_to_mprj_oen_buffers[40]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.98 ^ mprj/la_oenb[87] (user_project_wrapper) + 8.98 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38540_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[88] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.79 ^ soc/core/_38540_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.47 8.26 ^ soc/core/_38540_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.18 8.44 v soc/core/_21358_/Y (sky130_fd_sc_hd__clkinv_2) + 0.18 8.62 v soc/core/output440/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 8.81 v mgmt_buffers/input448/X (sky130_fd_sc_hd__dlymetal6s2s_1) + 0.13 8.94 ^ mgmt_buffers/_631_/Y (sky130_fd_sc_hd__inv_2) + 0.04 8.98 v mgmt_buffers/user_to_mprj_oen_buffers[39]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.98 v mprj/la_oenb[88] (user_project_wrapper) + 8.98 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_39199_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[15] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.97 ^ soc/core/_39199_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.43 8.40 ^ soc/core/_39199_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.07 8.47 v soc/core/_19103_/Y (sky130_fd_sc_hd__inv_2) + 0.13 8.60 v soc/core/output394/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 8.80 v mgmt_buffers/input402/X (sky130_fd_sc_hd__buf_2) + 0.14 8.94 ^ mgmt_buffers/_375_/Y (sky130_fd_sc_hd__inv_2) + 0.04 8.97 v mgmt_buffers/user_to_mprj_oen_buffers[112]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.97 v mprj/la_oenb[15] (user_project_wrapper) + 8.97 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38561_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[67] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.82 ^ soc/core/_38561_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.37 8.19 v soc/core/_38561_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.14 8.33 ^ soc/core/_21288_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.48 ^ soc/core/output464/X (sky130_fd_sc_hd__clkbuf_4) + 0.29 8.77 ^ mgmt_buffers/input472/X (sky130_fd_sc_hd__buf_2) + 0.14 8.90 v mgmt_buffers/_652_/Y (sky130_fd_sc_hd__inv_2) + 0.07 8.97 ^ mgmt_buffers/user_to_mprj_oen_buffers[60]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.97 ^ mprj/la_oenb[67] (user_project_wrapper) + 8.97 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38508_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[56] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.83 ^ soc/core/_38508_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.44 8.27 ^ soc/core/_38508_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.18 8.45 v soc/core/_32238_/Y (sky130_fd_sc_hd__clkinv_2) + 0.18 8.63 v soc/core/output476/X (sky130_fd_sc_hd__clkbuf_4) + 0.18 8.80 v mgmt_buffers/input484/X (sky130_fd_sc_hd__clkbuf_2) + 0.13 8.93 ^ mgmt_buffers/_334_/Y (sky130_fd_sc_hd__clkinv_2) + 0.04 8.97 v mgmt_buffers/user_to_mprj_oen_buffers[71]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.97 v mprj/la_oenb[56] (user_project_wrapper) + 8.97 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38581_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[111] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.76 ^ soc/core/_38581_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.42 8.18 ^ soc/core/_38581_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.16 8.34 v soc/core/_21219_/Y (sky130_fd_sc_hd__clkinv_2) + 0.18 8.52 v soc/core/output415/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 8.69 v mgmt_buffers/input423/X (sky130_fd_sc_hd__clkbuf_2) + 0.23 8.92 ^ mgmt_buffers/_608_/Y (sky130_fd_sc_hd__inv_2) + 0.05 8.97 v mgmt_buffers/user_to_mprj_oen_buffers[16]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.97 v mprj/la_oenb[111] (user_project_wrapper) + 8.97 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38535_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[93] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.79 ^ soc/core/_38535_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.48 8.27 ^ soc/core/_38535_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.10 8.38 v soc/core/_21375_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.53 v soc/core/output435/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 8.69 v mgmt_buffers/input443/X (sky130_fd_sc_hd__clkbuf_2) + 0.23 8.92 ^ mgmt_buffers/_626_/Y (sky130_fd_sc_hd__inv_2) + 0.05 8.97 v mgmt_buffers/user_to_mprj_oen_buffers[34]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.97 v mprj/la_oenb[93] (user_project_wrapper) + 8.97 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38558_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[70] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.82 ^ soc/core/_38558_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.46 8.27 ^ soc/core/_38558_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.11 8.39 v soc/core/_21298_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.54 v soc/core/output460/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 8.70 v mgmt_buffers/input468/X (sky130_fd_sc_hd__clkbuf_2) + 0.22 8.92 ^ mgmt_buffers/_649_/Y (sky130_fd_sc_hd__inv_2) + 0.05 8.96 v mgmt_buffers/user_to_mprj_oen_buffers[57]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.96 v mprj/la_oenb[70] (user_project_wrapper) + 8.96 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38547_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[81] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.80 ^ soc/core/_38547_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.38 8.18 v soc/core/_38547_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.14 8.32 ^ soc/core/_21336_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.48 ^ soc/core/output448/X (sky130_fd_sc_hd__clkbuf_4) + 0.23 8.70 ^ mgmt_buffers/input456/X (sky130_fd_sc_hd__buf_4) + 0.18 8.88 v mgmt_buffers/_638_/Y (sky130_fd_sc_hd__clkinv_2) + 0.08 8.96 ^ mgmt_buffers/user_to_mprj_oen_buffers[46]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.96 ^ mprj/la_oenb[81] (user_project_wrapper) + 8.96 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38554_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[74] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.80 ^ soc/core/_38554_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.38 8.19 v soc/core/_38554_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.12 8.31 ^ soc/core/_21312_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.45 ^ soc/core/output456/X (sky130_fd_sc_hd__clkbuf_4) + 0.25 8.70 ^ mgmt_buffers/input464/X (sky130_fd_sc_hd__buf_2) + 0.18 8.88 v mgmt_buffers/_645_/Y (sky130_fd_sc_hd__clkinv_2) + 0.08 8.96 ^ mgmt_buffers/user_to_mprj_oen_buffers[53]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.96 ^ mprj/la_oenb[74] (user_project_wrapper) + 8.96 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38543_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[85] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.77 ^ soc/core/_38543_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.49 8.27 ^ soc/core/_38543_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.12 8.38 v soc/core/_21348_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.53 v soc/core/output444/X (sky130_fd_sc_hd__clkbuf_4) + 0.22 8.76 v mgmt_buffers/input452/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 8.92 ^ mgmt_buffers/_634_/Y (sky130_fd_sc_hd__inv_2) + 0.04 8.96 v mgmt_buffers/user_to_mprj_oen_buffers[42]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.96 v mprj/la_oenb[85] (user_project_wrapper) + 8.96 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38542_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[86] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.81 ^ soc/core/_38542_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.48 8.28 ^ soc/core/_38542_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.10 8.38 v soc/core/_21352_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.52 v soc/core/output443/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 8.69 v mgmt_buffers/input451/X (sky130_fd_sc_hd__clkbuf_2) + 0.22 8.91 ^ mgmt_buffers/_633_/Y (sky130_fd_sc_hd__inv_2) + 0.04 8.95 v mgmt_buffers/user_to_mprj_oen_buffers[41]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.95 v mprj/la_oenb[86] (user_project_wrapper) + 8.95 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38513_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[51] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.83 ^ soc/core/_38513_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.39 8.21 v soc/core/_38513_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.17 8.39 ^ soc/core/_32243_/Y (sky130_fd_sc_hd__inv_2) + 0.18 8.56 ^ soc/core/output481/X (sky130_fd_sc_hd__clkbuf_4) + 0.23 8.79 ^ mgmt_buffers/input489/X (sky130_fd_sc_hd__clkbuf_4) + 0.10 8.89 v mgmt_buffers/_339_/Y (sky130_fd_sc_hd__inv_2) + 0.06 8.95 ^ mgmt_buffers/user_to_mprj_oen_buffers[76]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.95 ^ mprj/la_oenb[51] (user_project_wrapper) + 8.95 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38548_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[80] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.80 ^ soc/core/_38548_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.38 8.18 v soc/core/_38548_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.14 8.32 ^ soc/core/_21331_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.48 ^ soc/core/output449/X (sky130_fd_sc_hd__clkbuf_4) + 0.23 8.70 ^ mgmt_buffers/input457/X (sky130_fd_sc_hd__buf_4) + 0.17 8.88 v mgmt_buffers/_639_/Y (sky130_fd_sc_hd__clkinv_2) + 0.07 8.95 ^ mgmt_buffers/user_to_mprj_oen_buffers[47]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.95 ^ mprj/la_oenb[80] (user_project_wrapper) + 8.95 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38502_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[62] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.83 ^ soc/core/_38502_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.50 8.33 ^ soc/core/_38502_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.10 8.42 v soc/core/_32232_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.57 v soc/core/output469/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 8.76 v mgmt_buffers/input477/X (sky130_fd_sc_hd__clkbuf_2) + 0.15 8.91 ^ mgmt_buffers/_657_/Y (sky130_fd_sc_hd__inv_2) + 0.04 8.94 v mgmt_buffers/user_to_mprj_oen_buffers[65]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.94 v mprj/la_oenb[62] (user_project_wrapper) + 8.94 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38570_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[122] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.77 ^ soc/core/_38570_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.50 8.27 ^ soc/core/_38570_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.18 8.45 v soc/core/_21258_/Y (sky130_fd_sc_hd__clkinv_2) + 0.17 8.62 v soc/core/output463/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 8.78 v mgmt_buffers/input471/X (sky130_fd_sc_hd__buf_2) + 0.11 8.89 ^ mgmt_buffers/_597_/Y (sky130_fd_sc_hd__clkinv_4) + 0.05 8.94 v mgmt_buffers/user_to_mprj_oen_buffers[5]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.94 v mprj/la_oenb[122] (user_project_wrapper) + 8.94 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38509_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[55] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.83 ^ soc/core/_38509_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.43 8.26 v soc/core/_38509_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.13 8.38 ^ soc/core/_32239_/Y (sky130_fd_sc_hd__inv_2) + 0.13 8.52 ^ soc/core/output477/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 8.72 ^ mgmt_buffers/input485/X (sky130_fd_sc_hd__buf_2) + 0.15 8.86 v mgmt_buffers/_335_/Y (sky130_fd_sc_hd__clkinv_2) + 0.08 8.94 ^ mgmt_buffers/user_to_mprj_oen_buffers[72]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.94 ^ mprj/la_oenb[55] (user_project_wrapper) + 8.94 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38536_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[92] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.79 ^ soc/core/_38536_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.43 8.22 v soc/core/_38536_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.13 8.35 ^ soc/core/_21372_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.49 ^ soc/core/output436/X (sky130_fd_sc_hd__clkbuf_4) + 0.18 8.67 ^ mgmt_buffers/input444/X (sky130_fd_sc_hd__clkbuf_2) + 0.17 8.84 v mgmt_buffers/_627_/Y (sky130_fd_sc_hd__clkinv_4) + 0.10 8.94 ^ mgmt_buffers/user_to_mprj_oen_buffers[35]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.94 ^ mprj/la_oenb[92] (user_project_wrapper) + 8.94 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38564_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[64] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.82 ^ soc/core/_38564_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.42 8.24 ^ soc/core/_38564_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.11 8.35 v soc/core/_21276_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.51 v soc/core/output467/X (sky130_fd_sc_hd__clkbuf_4) + 0.18 8.68 v mgmt_buffers/input475/X (sky130_fd_sc_hd__clkbuf_2) + 0.21 8.89 ^ mgmt_buffers/_655_/Y (sky130_fd_sc_hd__inv_2) + 0.04 8.94 v mgmt_buffers/user_to_mprj_oen_buffers[63]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.94 v mprj/la_oenb[64] (user_project_wrapper) + 8.94 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38545_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[83] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.81 ^ soc/core/_38545_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.39 8.20 v soc/core/_38545_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.14 8.34 ^ soc/core/_21342_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.49 ^ soc/core/output446/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 8.69 ^ mgmt_buffers/input454/X (sky130_fd_sc_hd__buf_2) + 0.16 8.84 v mgmt_buffers/_636_/Y (sky130_fd_sc_hd__clkinv_4) + 0.09 8.94 ^ mgmt_buffers/user_to_mprj_oen_buffers[44]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.94 ^ mprj/la_oenb[83] (user_project_wrapper) + 8.94 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38514_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[50] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.83 ^ soc/core/_38514_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.45 8.28 v soc/core/_38514_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.08 8.36 ^ soc/core/_32244_/Y (sky130_fd_sc_hd__inv_2) + 0.12 8.48 ^ soc/core/output482/X (sky130_fd_sc_hd__clkbuf_4) + 0.22 8.70 ^ mgmt_buffers/input490/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 8.85 v mgmt_buffers/_340_/Y (sky130_fd_sc_hd__clkinv_2) + 0.08 8.93 ^ mgmt_buffers/user_to_mprj_oen_buffers[77]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.93 ^ mprj/la_oenb[50] (user_project_wrapper) + 8.93 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38559_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[69] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.75 ^ soc/core/_38559_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.36 8.12 v soc/core/_38559_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.13 8.25 ^ soc/core/_21294_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.40 ^ soc/core/output461/X (sky130_fd_sc_hd__clkbuf_4) + 0.27 8.67 ^ mgmt_buffers/input469/X (sky130_fd_sc_hd__buf_2) + 0.19 8.85 v mgmt_buffers/_650_/Y (sky130_fd_sc_hd__clkinv_2) + 0.08 8.93 ^ mgmt_buffers/user_to_mprj_oen_buffers[58]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.93 ^ mprj/la_oenb[69] (user_project_wrapper) + 8.93 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38573_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[119] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.76 ^ soc/core/_38573_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.39 8.16 v soc/core/_38573_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.18 8.34 ^ soc/core/_21246_/Y (sky130_fd_sc_hd__inv_2) + 0.17 8.51 ^ soc/core/output496/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 8.67 ^ mgmt_buffers/input504/X (sky130_fd_sc_hd__buf_2) + 0.16 8.83 v mgmt_buffers/_600_/Y (sky130_fd_sc_hd__clkinv_4) + 0.10 8.93 ^ mgmt_buffers/user_to_mprj_oen_buffers[8]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.93 ^ mprj/la_oenb[119] (user_project_wrapper) + 8.93 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38595_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[97] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.79 ^ soc/core/_38595_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.37 8.16 v soc/core/_38595_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.12 8.29 ^ soc/core/_21174_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.43 ^ soc/core/output431/X (sky130_fd_sc_hd__clkbuf_4) + 0.22 8.65 ^ mgmt_buffers/input439/X (sky130_fd_sc_hd__buf_2) + 0.18 8.83 v mgmt_buffers/_622_/Y (sky130_fd_sc_hd__clkinv_4) + 0.10 8.93 ^ mgmt_buffers/user_to_mprj_oen_buffers[30]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.93 ^ mprj/la_oenb[97] (user_project_wrapper) + 8.93 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38538_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[90] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.79 ^ soc/core/_38538_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.42 8.22 v soc/core/_38538_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.14 8.35 ^ soc/core/_21366_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.50 ^ soc/core/output438/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 8.67 ^ mgmt_buffers/input446/X (sky130_fd_sc_hd__clkbuf_2) + 0.16 8.83 v mgmt_buffers/_629_/Y (sky130_fd_sc_hd__clkinv_4) + 0.10 8.93 ^ mgmt_buffers/user_to_mprj_oen_buffers[37]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.93 ^ mprj/la_oenb[90] (user_project_wrapper) + 8.93 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38567_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[125] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.81 ^ soc/core/_38567_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.43 8.23 v soc/core/_38567_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.10 8.34 ^ soc/core/_21267_/Y (sky130_fd_sc_hd__clkinv_2) + 0.13 8.47 ^ soc/core/output430/X (sky130_fd_sc_hd__clkbuf_4) + 0.19 8.66 ^ mgmt_buffers/input438/X (sky130_fd_sc_hd__buf_2) + 0.16 8.83 v mgmt_buffers/_594_/Y (sky130_fd_sc_hd__clkinv_4) + 0.10 8.93 ^ mgmt_buffers/user_to_mprj_oen_buffers[2]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.93 ^ mprj/la_oenb[125] (user_project_wrapper) + 8.93 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38593_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[99] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.76 ^ soc/core/_38593_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.37 8.13 v soc/core/_38593_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.14 8.28 ^ soc/core/_21180_/Y (sky130_fd_sc_hd__inv_2) + 0.16 8.43 ^ soc/core/output428/X (sky130_fd_sc_hd__clkbuf_4) + 0.23 8.66 ^ mgmt_buffers/input436/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 8.84 v mgmt_buffers/_620_/Y (sky130_fd_sc_hd__clkinv_2) + 0.08 8.92 ^ mgmt_buffers/user_to_mprj_oen_buffers[28]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.92 ^ mprj/la_oenb[99] (user_project_wrapper) + 8.92 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38517_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[47] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.81 ^ soc/core/_38517_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.41 8.22 v soc/core/_38517_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.07 8.29 ^ soc/core/_32247_/Y (sky130_fd_sc_hd__inv_2) + 0.12 8.41 ^ soc/core/output486/X (sky130_fd_sc_hd__clkbuf_4) + 0.26 8.67 ^ mgmt_buffers/input494/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 8.83 v mgmt_buffers/_343_/Y (sky130_fd_sc_hd__clkinv_2) + 0.08 8.91 ^ mgmt_buffers/user_to_mprj_oen_buffers[80]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.91 ^ mprj/la_oenb[47] (user_project_wrapper) + 8.91 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38592_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[100] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.79 ^ soc/core/_38592_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.43 8.22 ^ soc/core/_38592_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.16 8.38 v soc/core/_21183_/Y (sky130_fd_sc_hd__clkinv_2) + 0.17 8.55 v soc/core/output427/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 8.73 v mgmt_buffers/input435/X (sky130_fd_sc_hd__buf_2) + 0.13 8.86 ^ mgmt_buffers/_619_/Y (sky130_fd_sc_hd__clkinv_2) + 0.04 8.90 v mgmt_buffers/user_to_mprj_oen_buffers[27]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.90 v mprj/la_oenb[100] (user_project_wrapper) + 8.90 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38539_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[89] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.79 ^ soc/core/_38539_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.42 8.21 v soc/core/_38539_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.12 8.33 ^ soc/core/_21363_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.47 ^ soc/core/output439/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 8.64 ^ mgmt_buffers/input447/X (sky130_fd_sc_hd__clkbuf_2) + 0.15 8.79 v mgmt_buffers/_630_/Y (sky130_fd_sc_hd__clkinv_4) + 0.10 8.89 ^ mgmt_buffers/user_to_mprj_oen_buffers[38]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.89 ^ mprj/la_oenb[89] (user_project_wrapper) + 8.89 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38550_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[78] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.79 ^ soc/core/_38550_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.36 8.16 v soc/core/_38550_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.09 8.25 ^ soc/core/_21325_/Y (sky130_fd_sc_hd__inv_2) + 0.13 8.38 ^ soc/core/output451/X (sky130_fd_sc_hd__clkbuf_4) + 0.24 8.61 ^ mgmt_buffers/input459/X (sky130_fd_sc_hd__clkbuf_4) + 0.19 8.80 v mgmt_buffers/_641_/Y (sky130_fd_sc_hd__clkinv_2) + 0.09 8.89 ^ mgmt_buffers/user_to_mprj_oen_buffers[49]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.89 ^ mprj/la_oenb[78] (user_project_wrapper) + 8.89 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38504_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[60] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.80 ^ soc/core/_38504_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.50 8.30 ^ soc/core/_38504_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.09 8.39 v soc/core/_32234_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.54 v soc/core/output471/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 8.73 v mgmt_buffers/input479/X (sky130_fd_sc_hd__buf_4) + 0.12 8.85 ^ mgmt_buffers/_330_/Y (sky130_fd_sc_hd__clkinv_2) + 0.04 8.89 v mgmt_buffers/user_to_mprj_oen_buffers[67]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.89 v mprj/la_oenb[60] (user_project_wrapper) + 8.89 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38590_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[102] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.77 ^ soc/core/_38590_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.38 8.15 v soc/core/_38590_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.11 8.26 ^ soc/core/_21189_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.40 ^ soc/core/output425/X (sky130_fd_sc_hd__clkbuf_4) + 0.23 8.63 ^ mgmt_buffers/input433/X (sky130_fd_sc_hd__buf_2) + 0.18 8.81 v mgmt_buffers/_617_/Y (sky130_fd_sc_hd__clkinv_2) + 0.08 8.89 ^ mgmt_buffers/user_to_mprj_oen_buffers[25]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.89 ^ mprj/la_oenb[102] (user_project_wrapper) + 8.89 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38556_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[72] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.80 ^ soc/core/_38556_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.39 8.19 v soc/core/_38556_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.11 8.30 ^ soc/core/_21304_/Y (sky130_fd_sc_hd__inv_2) + 0.13 8.44 ^ soc/core/output458/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 8.64 ^ mgmt_buffers/input466/X (sky130_fd_sc_hd__buf_2) + 0.16 8.80 v mgmt_buffers/_647_/Y (sky130_fd_sc_hd__clkinv_2) + 0.08 8.89 ^ mgmt_buffers/user_to_mprj_oen_buffers[55]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.89 ^ mprj/la_oenb[72] (user_project_wrapper) + 8.89 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38553_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[75] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.80 ^ soc/core/_38553_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.47 8.27 ^ soc/core/_38553_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.11 8.38 v soc/core/_21315_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.53 v soc/core/output455/X (sky130_fd_sc_hd__clkbuf_4) + 0.18 8.71 v mgmt_buffers/input463/X (sky130_fd_sc_hd__clkbuf_2) + 0.13 8.85 ^ mgmt_buffers/_644_/Y (sky130_fd_sc_hd__inv_2) + 0.04 8.89 v mgmt_buffers/user_to_mprj_oen_buffers[52]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.89 v mprj/la_oenb[75] (user_project_wrapper) + 8.89 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38591_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[101] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.79 ^ soc/core/_38591_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.45 8.24 ^ soc/core/_38591_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.11 8.35 v soc/core/_21186_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.50 v soc/core/output426/X (sky130_fd_sc_hd__clkbuf_4) + 0.14 8.64 v mgmt_buffers/input434/X (sky130_fd_sc_hd__clkbuf_2) + 0.20 8.84 ^ mgmt_buffers/_618_/Y (sky130_fd_sc_hd__inv_2) + 0.05 8.89 v mgmt_buffers/user_to_mprj_oen_buffers[26]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.89 v mprj/la_oenb[101] (user_project_wrapper) + 8.89 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38576_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[116] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.77 ^ soc/core/_38576_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.45 8.22 ^ soc/core/_38576_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.09 8.32 v soc/core/_21237_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.46 v soc/core/output402/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 8.62 v mgmt_buffers/input410/X (sky130_fd_sc_hd__clkbuf_2) + 0.22 8.84 ^ mgmt_buffers/_603_/Y (sky130_fd_sc_hd__inv_2) + 0.04 8.88 v mgmt_buffers/user_to_mprj_oen_buffers[11]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.88 v mprj/la_oenb[116] (user_project_wrapper) + 8.88 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38594_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[98] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.76 ^ soc/core/_38594_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.44 8.20 ^ soc/core/_38594_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.08 8.28 v soc/core/_21177_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.42 v soc/core/output429/X (sky130_fd_sc_hd__clkbuf_4) + 0.19 8.60 v mgmt_buffers/input437/X (sky130_fd_sc_hd__buf_2) + 0.23 8.84 ^ mgmt_buffers/_621_/Y (sky130_fd_sc_hd__inv_2) + 0.05 8.88 v mgmt_buffers/user_to_mprj_oen_buffers[29]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.88 v mprj/la_oenb[98] (user_project_wrapper) + 8.88 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38501_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[63] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.80 ^ soc/core/_38501_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.50 8.29 ^ soc/core/_38501_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.09 8.39 v soc/core/_32231_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.53 v soc/core/output468/X (sky130_fd_sc_hd__clkbuf_4) + 0.18 8.71 v mgmt_buffers/input476/X (sky130_fd_sc_hd__buf_2) + 0.12 8.84 ^ mgmt_buffers/_656_/Y (sky130_fd_sc_hd__inv_2) + 0.04 8.87 v mgmt_buffers/user_to_mprj_oen_buffers[64]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.87 v mprj/la_oenb[63] (user_project_wrapper) + 8.87 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38589_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[103] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.77 ^ soc/core/_38589_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.37 8.14 v soc/core/_38589_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.12 8.26 ^ soc/core/_21192_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.41 ^ soc/core/output424/X (sky130_fd_sc_hd__clkbuf_4) + 0.23 8.64 ^ mgmt_buffers/input432/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 8.80 v mgmt_buffers/_616_/Y (sky130_fd_sc_hd__clkinv_2) + 0.07 8.87 ^ mgmt_buffers/user_to_mprj_oen_buffers[24]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.87 ^ mprj/la_oenb[103] (user_project_wrapper) + 8.87 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38563_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[65] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.82 ^ soc/core/_38563_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.36 8.18 v soc/core/_38563_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.12 8.29 ^ soc/core/_21282_/Y (sky130_fd_sc_hd__clkinv_2) + 0.15 8.44 ^ soc/core/output466/X (sky130_fd_sc_hd__clkbuf_4) + 0.21 8.65 ^ mgmt_buffers/input474/X (sky130_fd_sc_hd__buf_2) + 0.14 8.80 v mgmt_buffers/_654_/Y (sky130_fd_sc_hd__clkinv_2) + 0.07 8.87 ^ mgmt_buffers/user_to_mprj_oen_buffers[62]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.87 ^ mprj/la_oenb[65] (user_project_wrapper) + 8.87 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38549_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[79] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.79 ^ soc/core/_38549_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.36 8.16 v soc/core/_38549_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.10 8.26 ^ soc/core/_21328_/Y (sky130_fd_sc_hd__inv_2) + 0.13 8.39 ^ soc/core/output450/X (sky130_fd_sc_hd__clkbuf_4) + 0.22 8.61 ^ mgmt_buffers/input458/X (sky130_fd_sc_hd__buf_2) + 0.16 8.77 v mgmt_buffers/_640_/Y (sky130_fd_sc_hd__clkinv_4) + 0.09 8.87 ^ mgmt_buffers/user_to_mprj_oen_buffers[48]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.87 ^ mprj/la_oenb[79] (user_project_wrapper) + 8.87 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38503_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[61] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.83 ^ soc/core/_38503_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.44 8.27 ^ soc/core/_38503_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.08 8.35 v soc/core/_32233_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.49 v soc/core/output470/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 8.69 v mgmt_buffers/input478/X (sky130_fd_sc_hd__buf_4) + 0.14 8.82 ^ mgmt_buffers/_329_/Y (sky130_fd_sc_hd__inv_2) + 0.04 8.86 v mgmt_buffers/user_to_mprj_oen_buffers[66]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.86 v mprj/la_oenb[61] (user_project_wrapper) + 8.86 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38596_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[96] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.79 ^ soc/core/_38596_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.37 8.16 v soc/core/_38596_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.11 8.27 ^ soc/core/_21166_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.41 ^ soc/core/output432/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 8.61 ^ mgmt_buffers/input440/X (sky130_fd_sc_hd__buf_2) + 0.16 8.77 v mgmt_buffers/_623_/Y (sky130_fd_sc_hd__clkinv_4) + 0.09 8.86 ^ mgmt_buffers/user_to_mprj_oen_buffers[31]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.86 ^ mprj/la_oenb[96] (user_project_wrapper) + 8.86 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38582_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[110] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.77 ^ soc/core/_38582_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.43 8.19 ^ soc/core/_38582_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.08 8.28 v soc/core/_21216_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.41 v soc/core/output416/X (sky130_fd_sc_hd__clkbuf_4) + 0.18 8.59 v mgmt_buffers/input424/X (sky130_fd_sc_hd__buf_2) + 0.22 8.81 ^ mgmt_buffers/_609_/Y (sky130_fd_sc_hd__inv_2) + 0.05 8.86 v mgmt_buffers/user_to_mprj_oen_buffers[17]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.86 v mprj/la_oenb[110] (user_project_wrapper) + 8.86 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38580_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[112] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.77 ^ soc/core/_38580_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.42 8.19 v soc/core/_38580_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.10 8.29 ^ soc/core/_21222_/Y (sky130_fd_sc_hd__inv_2) + 0.13 8.42 ^ soc/core/output414/X (sky130_fd_sc_hd__clkbuf_4) + 0.18 8.60 ^ mgmt_buffers/input422/X (sky130_fd_sc_hd__clkbuf_2) + 0.16 8.76 v mgmt_buffers/_607_/Y (sky130_fd_sc_hd__clkinv_4) + 0.10 8.86 ^ mgmt_buffers/user_to_mprj_oen_buffers[15]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.86 ^ mprj/la_oenb[112] (user_project_wrapper) + 8.86 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38574_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[118] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.76 ^ soc/core/_38574_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.43 8.20 ^ soc/core/_38574_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.14 8.34 v soc/core/_21243_/Y (sky130_fd_sc_hd__inv_2) + 0.16 8.50 v soc/core/output507/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 8.65 v mgmt_buffers/input515/X (sky130_fd_sc_hd__clkbuf_2) + 0.17 8.81 ^ mgmt_buffers/_601_/Y (sky130_fd_sc_hd__inv_2) + 0.04 8.85 v mgmt_buffers/user_to_mprj_oen_buffers[9]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.85 v mprj/la_oenb[118] (user_project_wrapper) + 8.85 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38569_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[123] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.77 ^ soc/core/_38569_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.42 8.18 v soc/core/_38569_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.10 8.28 ^ soc/core/_21261_/Y (sky130_fd_sc_hd__inv_2) + 0.13 8.41 ^ soc/core/output452/X (sky130_fd_sc_hd__clkbuf_4) + 0.19 8.60 ^ mgmt_buffers/input460/X (sky130_fd_sc_hd__buf_2) + 0.16 8.76 v mgmt_buffers/_596_/Y (sky130_fd_sc_hd__clkinv_4) + 0.09 8.85 ^ mgmt_buffers/user_to_mprj_oen_buffers[4]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.85 ^ mprj/la_oenb[123] (user_project_wrapper) + 8.85 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38586_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[106] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.77 ^ soc/core/_38586_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.39 8.15 v soc/core/_38586_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.12 8.27 ^ soc/core/_21204_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.41 ^ soc/core/output421/X (sky130_fd_sc_hd__clkbuf_4) + 0.21 8.62 ^ mgmt_buffers/input429/X (sky130_fd_sc_hd__buf_2) + 0.16 8.77 v mgmt_buffers/_613_/Y (sky130_fd_sc_hd__clkinv_2) + 0.07 8.85 ^ mgmt_buffers/user_to_mprj_oen_buffers[21]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.85 ^ mprj/la_oenb[106] (user_project_wrapper) + 8.85 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38518_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[46] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.81 ^ soc/core/_38518_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.45 8.27 ^ soc/core/_38518_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.06 8.33 v soc/core/_32248_/Y (sky130_fd_sc_hd__inv_2) + 0.13 8.46 v soc/core/output487/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 8.66 v mgmt_buffers/input495/X (sky130_fd_sc_hd__buf_4) + 0.15 8.81 ^ mgmt_buffers/_344_/Y (sky130_fd_sc_hd__inv_2) + 0.04 8.85 v mgmt_buffers/user_to_mprj_oen_buffers[81]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.85 v mprj/la_oenb[46] (user_project_wrapper) + 8.85 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38572_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[120] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.77 ^ soc/core/_38572_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.41 8.19 v soc/core/_38572_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.11 8.30 ^ soc/core/_21249_/Y (sky130_fd_sc_hd__inv_2) + 0.13 8.43 ^ soc/core/output485/X (sky130_fd_sc_hd__clkbuf_4) + 0.18 8.61 ^ mgmt_buffers/input493/X (sky130_fd_sc_hd__buf_2) + 0.14 8.75 v mgmt_buffers/_599_/Y (sky130_fd_sc_hd__clkinv_4) + 0.09 8.85 ^ mgmt_buffers/user_to_mprj_oen_buffers[7]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.85 ^ mprj/la_oenb[120] (user_project_wrapper) + 8.85 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38505_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[59] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.82 ^ soc/core/_38505_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.45 8.28 ^ soc/core/_38505_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.08 8.36 v soc/core/_32235_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.49 v soc/core/output472/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 8.67 v mgmt_buffers/input480/X (sky130_fd_sc_hd__clkbuf_2) + 0.14 8.81 ^ mgmt_buffers/_331_/Y (sky130_fd_sc_hd__inv_2) + 0.04 8.84 v mgmt_buffers/user_to_mprj_oen_buffers[68]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.84 v mprj/la_oenb[59] (user_project_wrapper) + 8.84 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38520_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[44] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.81 ^ soc/core/_38520_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.39 8.20 v soc/core/_38520_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.07 8.27 ^ soc/core/_32250_/Y (sky130_fd_sc_hd__inv_2) + 0.12 8.39 ^ soc/core/output489/X (sky130_fd_sc_hd__clkbuf_4) + 0.22 8.61 ^ mgmt_buffers/input497/X (sky130_fd_sc_hd__buf_4) + 0.16 8.77 v mgmt_buffers/_346_/Y (sky130_fd_sc_hd__clkinv_2) + 0.07 8.84 ^ mgmt_buffers/user_to_mprj_oen_buffers[83]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.84 ^ mprj/la_oenb[44] (user_project_wrapper) + 8.84 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38585_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[107] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.77 ^ soc/core/_38585_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.39 8.16 v soc/core/_38585_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.10 8.26 ^ soc/core/_21207_/Y (sky130_fd_sc_hd__inv_2) + 0.13 8.39 ^ soc/core/output420/X (sky130_fd_sc_hd__clkbuf_4) + 0.19 8.58 ^ mgmt_buffers/input428/X (sky130_fd_sc_hd__clkbuf_2) + 0.16 8.74 v mgmt_buffers/_612_/Y (sky130_fd_sc_hd__clkinv_4) + 0.10 8.84 ^ mgmt_buffers/user_to_mprj_oen_buffers[20]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.84 ^ mprj/la_oenb[107] (user_project_wrapper) + 8.84 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38571_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[121] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.77 ^ soc/core/_38571_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.50 8.27 ^ soc/core/_38571_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.11 8.38 v soc/core/_21255_/Y (sky130_fd_sc_hd__inv_2) + 0.15 8.53 v soc/core/output474/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 8.69 v mgmt_buffers/input482/X (sky130_fd_sc_hd__clkbuf_2) + 0.11 8.80 ^ mgmt_buffers/_598_/Y (sky130_fd_sc_hd__clkinv_2) + 0.04 8.84 v mgmt_buffers/user_to_mprj_oen_buffers[6]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.84 v mprj/la_oenb[121] (user_project_wrapper) + 8.84 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38506_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[58] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.80 ^ soc/core/_38506_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.47 8.26 ^ soc/core/_38506_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.08 8.34 v soc/core/_32236_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.48 v soc/core/output473/X (sky130_fd_sc_hd__clkbuf_4) + 0.18 8.66 v mgmt_buffers/input481/X (sky130_fd_sc_hd__clkbuf_2) + 0.14 8.80 ^ mgmt_buffers/_332_/Y (sky130_fd_sc_hd__inv_2) + 0.04 8.84 v mgmt_buffers/user_to_mprj_oen_buffers[69]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.84 v mprj/la_oenb[58] (user_project_wrapper) + 8.84 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38577_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[115] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.76 ^ soc/core/_38577_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.38 8.15 v soc/core/_38577_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.12 8.26 ^ soc/core/_21234_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.40 ^ soc/core/output411/X (sky130_fd_sc_hd__clkbuf_4) + 0.19 8.59 ^ mgmt_buffers/input419/X (sky130_fd_sc_hd__buf_2) + 0.15 8.74 v mgmt_buffers/_604_/Y (sky130_fd_sc_hd__clkinv_4) + 0.09 8.83 ^ mgmt_buffers/user_to_mprj_oen_buffers[12]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.83 ^ mprj/la_oenb[115] (user_project_wrapper) + 8.83 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38579_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[113] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.76 ^ soc/core/_38579_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.42 8.19 v soc/core/_38579_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.10 8.29 ^ soc/core/_21228_/Y (sky130_fd_sc_hd__inv_2) + 0.13 8.42 ^ soc/core/output413/X (sky130_fd_sc_hd__clkbuf_4) + 0.18 8.60 ^ mgmt_buffers/input421/X (sky130_fd_sc_hd__buf_2) + 0.14 8.74 v mgmt_buffers/_606_/Y (sky130_fd_sc_hd__clkinv_4) + 0.08 8.82 ^ mgmt_buffers/user_to_mprj_oen_buffers[14]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.82 ^ mprj/la_oenb[113] (user_project_wrapper) + 8.82 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38584_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[108] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.77 ^ soc/core/_38584_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.40 8.16 v soc/core/_38584_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.10 8.27 ^ soc/core/_21210_/Y (sky130_fd_sc_hd__inv_2) + 0.13 8.40 ^ soc/core/output418/X (sky130_fd_sc_hd__clkbuf_4) + 0.18 8.58 ^ mgmt_buffers/input426/X (sky130_fd_sc_hd__clkbuf_2) + 0.16 8.74 v mgmt_buffers/_611_/Y (sky130_fd_sc_hd__clkinv_2) + 0.08 8.82 ^ mgmt_buffers/user_to_mprj_oen_buffers[19]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.82 ^ mprj/la_oenb[108] (user_project_wrapper) + 8.82 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38544_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[84] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.77 ^ soc/core/_38544_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.36 8.13 v soc/core/_38544_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.09 8.22 ^ soc/core/_21345_/Y (sky130_fd_sc_hd__inv_2) + 0.13 8.35 ^ soc/core/output445/X (sky130_fd_sc_hd__clkbuf_4) + 0.23 8.58 ^ mgmt_buffers/input453/X (sky130_fd_sc_hd__buf_4) + 0.16 8.74 v mgmt_buffers/_635_/Y (sky130_fd_sc_hd__clkinv_2) + 0.07 8.82 ^ mgmt_buffers/user_to_mprj_oen_buffers[43]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.82 ^ mprj/la_oenb[84] (user_project_wrapper) + 8.82 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38575_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[117] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.77 ^ soc/core/_38575_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.40 8.17 v soc/core/_38575_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.11 8.28 ^ soc/core/_21240_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.42 ^ soc/core/output391/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 8.59 ^ mgmt_buffers/input399/X (sky130_fd_sc_hd__clkbuf_2) + 0.14 8.73 v mgmt_buffers/_602_/Y (sky130_fd_sc_hd__clkinv_2) + 0.07 8.80 ^ mgmt_buffers/user_to_mprj_oen_buffers[10]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.80 ^ mprj/la_oenb[117] (user_project_wrapper) + 8.80 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38521_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[43] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.81 ^ soc/core/_38521_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.41 8.23 ^ soc/core/_38521_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.04 8.27 v soc/core/_32251_/Y (sky130_fd_sc_hd__inv_2) + 0.13 8.40 v soc/core/output490/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 8.60 v mgmt_buffers/input498/X (sky130_fd_sc_hd__buf_4) + 0.16 8.76 ^ mgmt_buffers/_347_/Y (sky130_fd_sc_hd__inv_2) + 0.04 8.80 v mgmt_buffers/user_to_mprj_oen_buffers[84]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.80 v mprj/la_oenb[43] (user_project_wrapper) + 8.80 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38583_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[109] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.75 ^ soc/core/_38583_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.40 8.14 v soc/core/_38583_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.10 8.25 ^ soc/core/_21213_/Y (sky130_fd_sc_hd__inv_2) + 0.13 8.38 ^ soc/core/output417/X (sky130_fd_sc_hd__clkbuf_4) + 0.20 8.58 ^ mgmt_buffers/input425/X (sky130_fd_sc_hd__clkbuf_2) + 0.14 8.72 v mgmt_buffers/_610_/Y (sky130_fd_sc_hd__clkinv_2) + 0.07 8.79 ^ mgmt_buffers/user_to_mprj_oen_buffers[18]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.79 ^ mprj/la_oenb[109] (user_project_wrapper) + 8.79 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38519_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[45] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.81 ^ soc/core/_38519_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.35 8.16 v soc/core/_38519_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.06 8.23 ^ soc/core/_32249_/Y (sky130_fd_sc_hd__inv_2) + 0.12 8.34 ^ soc/core/output488/X (sky130_fd_sc_hd__clkbuf_4) + 0.22 8.57 ^ mgmt_buffers/input496/X (sky130_fd_sc_hd__clkbuf_4) + 0.15 8.71 v mgmt_buffers/_345_/Y (sky130_fd_sc_hd__clkinv_2) + 0.07 8.78 ^ mgmt_buffers/user_to_mprj_oen_buffers[82]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.78 ^ mprj/la_oenb[45] (user_project_wrapper) + 8.78 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38578_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[114] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.77 ^ soc/core/_38578_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.39 8.15 v soc/core/_38578_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.09 8.24 ^ soc/core/_21231_/Y (sky130_fd_sc_hd__inv_2) + 0.13 8.37 ^ soc/core/output412/X (sky130_fd_sc_hd__clkbuf_4) + 0.18 8.55 ^ mgmt_buffers/input420/X (sky130_fd_sc_hd__clkbuf_2) + 0.15 8.70 v mgmt_buffers/_605_/Y (sky130_fd_sc_hd__clkinv_2) + 0.08 8.78 ^ mgmt_buffers/user_to_mprj_oen_buffers[13]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.78 ^ mprj/la_oenb[114] (user_project_wrapper) + 8.78 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38587_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[105] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.77 ^ soc/core/_38587_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.42 8.19 ^ soc/core/_38587_/Q (sky130_fd_sc_hd__dfxtp_2) + 0.08 8.27 v soc/core/_21201_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.40 v soc/core/output422/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 8.58 v mgmt_buffers/input430/X (sky130_fd_sc_hd__clkbuf_2) + 0.14 8.72 ^ mgmt_buffers/_614_/Y (sky130_fd_sc_hd__inv_2) + 0.04 8.75 v mgmt_buffers/user_to_mprj_oen_buffers[22]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.75 v mprj/la_oenb[105] (user_project_wrapper) + 8.75 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38557_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[71] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.75 ^ soc/core/_38557_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.33 8.08 v soc/core/_38557_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.09 8.17 ^ soc/core/_21301_/Y (sky130_fd_sc_hd__inv_2) + 0.13 8.30 ^ soc/core/output459/X (sky130_fd_sc_hd__clkbuf_4) + 0.22 8.52 ^ mgmt_buffers/input467/X (sky130_fd_sc_hd__clkbuf_2) + 0.15 8.68 v mgmt_buffers/_648_/Y (sky130_fd_sc_hd__clkinv_2) + 0.07 8.75 ^ mgmt_buffers/user_to_mprj_oen_buffers[56]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.75 ^ mprj/la_oenb[71] (user_project_wrapper) + 8.75 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38568_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[124] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.77 ^ soc/core/_38568_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.45 8.22 ^ soc/core/_38568_/Q (sky130_fd_sc_hd__dfxtp_4) + 0.07 8.29 v soc/core/_21264_/Y (sky130_fd_sc_hd__inv_2) + 0.14 8.43 v soc/core/output441/X (sky130_fd_sc_hd__clkbuf_4) + 0.16 8.58 v mgmt_buffers/input449/X (sky130_fd_sc_hd__clkbuf_2) + 0.12 8.71 ^ mgmt_buffers/_595_/Y (sky130_fd_sc_hd__inv_2) + 0.04 8.74 v mgmt_buffers/user_to_mprj_oen_buffers[3]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.74 v mprj/la_oenb[124] (user_project_wrapper) + 8.74 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + +Startpoint: soc/core/_38546_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: mprj/la_oenb[82] (internal pin) +Path Group: (none) +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 7.77 ^ soc/core/_38546_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.36 8.13 ^ soc/core/_38546_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.06 8.19 v soc/core/_21339_/Y (sky130_fd_sc_hd__inv_2) + 0.13 8.32 v soc/core/output447/X (sky130_fd_sc_hd__clkbuf_4) + 0.17 8.49 v mgmt_buffers/input455/X (sky130_fd_sc_hd__clkbuf_2) + 0.20 8.69 ^ mgmt_buffers/_637_/Y (sky130_fd_sc_hd__inv_2) + 0.04 8.73 v mgmt_buffers/user_to_mprj_oen_buffers[45]/Z (sky130_fd_sc_hd__einvp_8) + 0.00 8.73 v mprj/la_oenb[82] (user_project_wrapper) + 8.73 data arrival time +--------------------------------------------------------- +(Path is unconstrained) + + + Flash output Interface +Startpoint: soc/core/_34932_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: flash_clk (output port clocked by clock) +Path Group: clock +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 8.02 8.02 clock network delay (propagated) + 0.00 8.02 ^ soc/core/_34932_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.36 8.38 v soc/core/_34932_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.14 8.52 v soc/core/output241/X (sky130_fd_sc_hd__clkbuf_4) + 0.19 8.71 v housekeeping/input83/X (sky130_fd_sc_hd__buf_6) + 0.34 9.05 v housekeeping/_8837_/X (sky130_fd_sc_hd__mux2_1) + 0.25 9.31 v housekeeping/output250/X (sky130_fd_sc_hd__clkbuf_1) + 10.44 19.75 v padframe/flash_clk_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 0.00 19.75 v flash_clk (out) + 19.75 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock network delay (propagated) + -0.25 24.75 clock uncertainty + 0.00 24.75 clock reconvergence pessimism + -5.00 19.75 output external delay + 19.75 data required time +--------------------------------------------------------- + 19.75 data required time + -19.75 data arrival time +--------------------------------------------------------- + 0.00 slack (MET) + + +Startpoint: soc/core/_38911_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: flash_csb (output port clocked by clock) +Path Group: clock +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 7.92 7.92 clock network delay (propagated) + 0.00 7.92 ^ soc/core/_38911_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.40 8.32 v soc/core/_38911_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.39 8.70 ^ soc/core/_20112_/Y (sky130_fd_sc_hd__nor3_4) + 0.17 8.87 v soc/core/_20115_/Y (sky130_fd_sc_hd__nand2_8) + 0.20 9.07 v soc/core/output242/X (sky130_fd_sc_hd__clkbuf_4) + 0.19 9.26 v housekeeping/input84/X (sky130_fd_sc_hd__buf_4) + 0.37 9.63 v housekeeping/_8836_/X (sky130_fd_sc_hd__mux2_2) + 0.21 9.84 v housekeeping/output252/X (sky130_fd_sc_hd__buf_2) + 10.37 20.21 v padframe/flash_csb_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 0.00 20.21 v flash_csb (out) + 20.21 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock network delay (propagated) + -0.25 24.75 clock uncertainty + 0.00 24.75 clock reconvergence pessimism + -5.00 19.75 output external delay + 19.75 data required time +--------------------------------------------------------- + 19.75 data required time + -20.21 data arrival time +--------------------------------------------------------- + -0.46 slack (VIOLATED) + + +Startpoint: soc/core/_34930_ (rising edge-triggered flip-flop clocked by clock) +Endpoint: flash_io0 (output port clocked by clock) +Path Group: clock +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 clock clock (rise edge) + 8.01 8.01 clock network delay (propagated) + 0.00 8.01 ^ soc/core/_34930_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.34 8.34 v soc/core/_34930_/Q (sky130_fd_sc_hd__dfxtp_1) + 0.13 8.47 v soc/core/output243/X (sky130_fd_sc_hd__clkbuf_4) + 0.22 8.69 v housekeeping/input85/X (sky130_fd_sc_hd__buf_4) + 0.37 9.06 v housekeeping/_8838_/X (sky130_fd_sc_hd__mux2_2) + 0.22 9.28 v housekeeping/output254/X (sky130_fd_sc_hd__buf_2) + 10.37 19.65 v padframe/flash_io0_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped) + 0.00 19.65 v flash_io0 (out) + 19.65 data arrival time + + 25.00 25.00 clock clock (rise edge) + 0.00 25.00 clock network delay (propagated) + -0.25 24.75 clock uncertainty + 0.00 24.75 clock reconvergence pessimism + -5.00 19.75 output external delay + 19.75 data required time +--------------------------------------------------------- + 19.75 data required time + -19.65 data arrival time +--------------------------------------------------------- + 0.10 slack (MET) + + +No paths found.