mirror of https://github.com/efabless/caravel.git
harden `housekeeping_alt` that will be integrated in `caravan_core`
This commit is contained in:
parent
dc68b8981c
commit
6b5aa27297
File diff suppressed because it is too large
Load Diff
Binary file not shown.
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
|
@ -0,0 +1,155 @@
|
|||
### Housekeeping SDC Update
|
||||
### Mod Rev 2
|
||||
### Date: 7/10/2022
|
||||
|
||||
set ::env(WB_CLK_PERIOD) 25
|
||||
set ::env(SCK_CLK_PERIOD) 100
|
||||
set ::env(RESET_PORT) "wb_rstn_i"
|
||||
set ::env(STD_CELL_LIBRARY) "sky130_fd_sc_hd"
|
||||
|
||||
## MASTER CLOCKS
|
||||
create_clock [get_ports {"wb_clk_i"} ] -name "wb_clk_i" -period $::env(WB_CLK_PERIOD)
|
||||
create_clock [get_ports {"user_clock"} ] -name "user_clock" -period $::env(WB_CLK_PERIOD)
|
||||
create_clock [get_ports {"mgmt_gpio_in[4]"} ] -name "sck" -period $::env(SCK_CLK_PERIOD)
|
||||
##
|
||||
set_propagated_clock [get_clocks {wb_clk_i}]
|
||||
set_propagated_clock [get_clocks {user_clock}]
|
||||
set_propagated_clock [get_clocks {"sck"}]
|
||||
|
||||
## GENERATED CLOCKS
|
||||
# NOTE: change the clock pins whenever the synthesis receipe changes
|
||||
set wbbd_sck_pin [get_pins -of_objects wbbd_sck -filter lib_pin_name==Q]
|
||||
|
||||
create_generated_clock -name "wbbd_sck" -source [get_ports {"wb_clk_i"} ] -divide_by 2 $wbbd_sck_pin
|
||||
|
||||
# paths between wb_clk_i and sck shouldn't be timed
|
||||
set_clock_groups -logically_exclusive -group wb_clk_i -group sck
|
||||
|
||||
set_propagated_clock [all_clocks]
|
||||
|
||||
## FALSE PATHS
|
||||
set_false_path -from [get_ports $::env(RESET_PORT)]
|
||||
set_false_path -from [get_ports "porb"]
|
||||
|
||||
## INPUT/OUTPUT DELAYS
|
||||
set input_delay_value 5
|
||||
set output_delay_value 5
|
||||
puts "\[INFO\]: Setting output delay to: $output_delay_value"
|
||||
puts "\[INFO\]: Setting input delay to: $input_delay_value"
|
||||
|
||||
## INPUT DELAYS
|
||||
set_input_delay $input_delay_value -clock [get_clocks wb_clk_i] [all_inputs]
|
||||
set_input_delay 0 -clock [get_clocks wb_clk_i] [get_port "mgmt_gpio_in[4]"]
|
||||
# set_input_delay 0 -clock [get_clocks wb_clk_i] [get_port "wb_clk_i"]
|
||||
set_input_delay 0 -clock [get_clocks wb_clk_i] [get_port "user_clock"]
|
||||
|
||||
## OUTPUT DELAYS
|
||||
|
||||
# WISHBONE DELAY
|
||||
set_output_delay 0 -clock [get_clocks wb_clk_i] [get_ports wb_ack_o]
|
||||
set_output_delay -1.5 -clock [get_clocks wb_clk_i] [get_ports wb_dat_o[*]]
|
||||
|
||||
# PLL DELAYS
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports pll_ena]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports pll_dco_ena]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports pll_div[*]]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports pll_sel[*]]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports pll90_sel[*]]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports pll_trim[*]]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports pll_bypass]
|
||||
|
||||
# SOC DELAYS
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports ser_rx]
|
||||
|
||||
# SPI DELAYS
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports spi_sdi]
|
||||
|
||||
# IRQ
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports irq[*]]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports reset]
|
||||
|
||||
# GPIO
|
||||
# Specify serial_clock as a generated clock signal
|
||||
#set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports serial_clock]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports serial_load]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports serial_resetn]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports serial_data_1]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports serial_data_2]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports mgmt_gpio_out[*]]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports mgmt_gpio_oeb[*]]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports pwr_ctrl_out[*]]
|
||||
|
||||
# FLASH
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports spimemio_flash_io0_di]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports spimemio_flash_io1_di]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports spimemio_flash_io2_di]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports spimemio_flash_io3_di]
|
||||
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports debug_in]
|
||||
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports pad_flash_csb]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports pad_flash_csb_oeb]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports pad_flash_clk]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports pad_flash_clk_oeb]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports pad_flash_io0_oeb]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports pad_flash_io1_oeb]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports pad_flash_io0_ieb]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports pad_flash_io1_ieb]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports pad_flash_io0_do]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports pad_flash_io1_do]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports pad_flash_io0_ieb]
|
||||
|
||||
# SRAM
|
||||
# set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports sram_ro_clk]
|
||||
# set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports sram_ro_csb]
|
||||
# set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports sram_ro_addr[*]]
|
||||
|
||||
## OUTPUT LOADS
|
||||
set PT_cap_load 0.21
|
||||
puts "\[INFO\]: Setting load to: $PT_cap_load"
|
||||
set_load $PT_cap_load [all_outputs]
|
||||
|
||||
## TIMING DERATE
|
||||
set ::env(SYNTH_TIMING_DERATE) 0.05
|
||||
puts "\[INFO\]: Setting timing derate to: [expr {$::env(SYNTH_TIMING_DERATE) * 100}] %"
|
||||
set_timing_derate -early [expr {1-$::env(SYNTH_TIMING_DERATE)}]
|
||||
set_timing_derate -late [expr {1+$::env(SYNTH_TIMING_DERATE)}]
|
||||
|
||||
## CLOCK UNCERTAINITY
|
||||
set wb_clk_uncer 0.28
|
||||
set sck_clk_uncer 0.28
|
||||
|
||||
puts "\[INFO\]: Setting WB clock uncertainity to: $wb_clk_uncer"
|
||||
puts "\[INFO\]: Setting SCK clock uncertainity to: $sck_clk_uncer"
|
||||
set_clock_uncertainty $wb_clk_uncer [get_clocks {wb_clk_i}]
|
||||
set_clock_uncertainty $wb_clk_uncer [get_clocks {user_clock}]
|
||||
set_clock_uncertainty $sck_clk_uncer [get_clocks {sck}]
|
||||
set_clock_uncertainty $sck_clk_uncer [get_clocks {wbbd_sck}]
|
||||
|
||||
## CLOCK TRANSITION
|
||||
set wb_clk_tran 0.15
|
||||
set sck_clk_tran 0.15
|
||||
|
||||
puts "\[INFO\]: Setting clock transition to: $wb_clk_tran"
|
||||
puts "\[INFO\]: Setting clock transition to: $sck_clk_tran"
|
||||
|
||||
set_clock_transition $wb_clk_tran [get_clocks {wb_clk_i}]
|
||||
set_clock_transition $wb_clk_tran [get_clocks {user_clock}]
|
||||
set_clock_transition $sck_clk_tran [get_clocks {sck}]
|
||||
|
||||
## FANOUT
|
||||
set ::env(SYNTH_MAX_FANOUT) 20
|
||||
puts "\[INFO\]: Setting maximum fanout to: $::env(SYNTH_MAX_FANOUT)"
|
||||
set_max_fanout $::env(SYNTH_MAX_FANOUT) [current_design]
|
||||
|
||||
## MAX Transition
|
||||
set_max_trans 0.74 [current_design]
|
||||
|
||||
set_max_transition 0.4 [get_clocks {wb_clk_i}] -clock_path
|
||||
set_max_transition 0.4 [get_clocks {user_clock}] -clock_path
|
||||
set_max_transition 0.4 [get_clocks {sck}] -clock_path
|
||||
|
||||
set_max_transition 0.4 [get_ports {pad_flash_clk}] -clock_path
|
||||
set_max_transition 0.4 [get_ports {mgmt_gpio_out[15]}] -clock_path
|
||||
set_max_transition 0.4 [get_ports {mgmt_gpio_out[9]}] -clock_path
|
||||
set_max_transition 0.4 [get_ports {mgmt_gpio_out[14]}] -clock_path
|
|
@ -0,0 +1,111 @@
|
|||
# SPDX-FileCopyrightText: 2020 Efabless Corporation
|
||||
#
|
||||
# Licensed under the Apache License, Version 2.0 (the "License");
|
||||
# you may not use this file except in compliance with the License.
|
||||
# You may obtain a copy of the License at
|
||||
#
|
||||
# http://www.apache.org/licenses/LICENSE-2.0
|
||||
#
|
||||
# Unless required by applicable law or agreed to in writing, software
|
||||
# distributed under the License is distributed on an "AS IS" BASIS,
|
||||
# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
|
||||
# See the License for the specific language governing permissions and
|
||||
# limitations under the License.
|
||||
# SPDX-License-Identifier: Apache-2.0
|
||||
|
||||
## This should be changed to point at Caravel root
|
||||
set ::env(CARAVEL_ROOT) $::env(DESIGN_DIR)/../..
|
||||
set ::env(STA_WRITE_LIB) 1
|
||||
set ::env(DESIGN_IS_CORE) 0
|
||||
|
||||
set ::env(DESIGN_NAME) "housekeeping_alt"
|
||||
set ::env(ROUTING_CORES) 12
|
||||
set ::env(RUN_KLAYOUT) 0
|
||||
set ::env(PDK) "sky130A"
|
||||
|
||||
set ::env(VERILOG_FILES) "\
|
||||
$::env(DESIGN_DIR)/../../verilog/rtl/defines.v\
|
||||
$::env(DESIGN_DIR)/../../verilog/rtl/housekeeping_spi.v\
|
||||
$::env(DESIGN_DIR)/../../verilog/rtl/housekeeping_alt.v"
|
||||
|
||||
set ::env(CLOCK_PORT) ""
|
||||
set ::env(CLOCK_NET) "wb_clk_i csclk mgmt_gpio_in\[4\]"
|
||||
set ::env(RUN_CTS) 1
|
||||
|
||||
|
||||
set ::env(BASE_SDC_FILE) [glob $::env(DESIGN_DIR)/base.sdc]
|
||||
set ::env(RCX_SDC_FILE) [glob $::env(DESIGN_DIR)/signoff.sdc]
|
||||
|
||||
## Synthesis
|
||||
set ::env(NO_SYNTH_CELL_LIST) [glob $::env(DESIGN_DIR)/no_synth.list]
|
||||
set ::env(DRC_EXCLUDE_CELL_LIST) [glob $::env(DESIGN_DIR)/drc_exclude.list]
|
||||
set ::env(SYNTH_STRATEGY) "DELAY 0"
|
||||
|
||||
set ::env(SYNTH_MAX_FANOUT) 20
|
||||
set ::env(SYNTH_BUFFERING) 0
|
||||
set ::env(SYNTH_READ_BLACKBOX_LIB) 1
|
||||
|
||||
## Floorplan
|
||||
set ::env(FP_SIZING) absolute
|
||||
set ::env(DIE_AREA) "0 0 410.230 550.950"
|
||||
|
||||
set ::env(FP_PIN_ORDER_CFG) [glob $::env(DESIGN_DIR)/pin_order.cfg]
|
||||
|
||||
set ::env(FP_IO_MIN_DISTANCE) 2
|
||||
|
||||
set ::env(CELL_PAD) 0
|
||||
|
||||
set ::env(FP_PDN_CORE_RING) 0
|
||||
set ::env(FP_PDN_HPITCH) 78.2
|
||||
set ::env(FP_PDN_VPITCH) 76.8
|
||||
set ::env(FP_PDN_HSPACING) 37.5
|
||||
set ::env(FP_PDN_HOFFSET) 16.41
|
||||
|
||||
## Placement
|
||||
set ::env(PL_TARGET_DENSITY) 0.31
|
||||
set ::env(PL_TIME_DRIVEN) 1
|
||||
set ::env(PL_RESIZER_DESIGN_OPTIMIZATIONS) 1
|
||||
set ::env(PL_RESIZER_TIMING_OPTIMIZATIONS) 1
|
||||
set ::env(PL_RESIZER_MAX_WIRE_LENGTH) 800
|
||||
set ::env(PL_RESIZER_SETUP_SLACK_MARGIN) 0.4
|
||||
|
||||
set ::env(PL_RESIZER_HOLD_SLACK_MARGIN) 0.1
|
||||
set ::env(PL_RESIZER_MAX_SLEW_MARGIN) "50"
|
||||
set ::env(PL_RESIZER_MAX_CAP_MARGIN) "50"
|
||||
|
||||
# set ::env(PL_RESIZER_HOLD_MAX_BUFFER_PERCENT) 50
|
||||
# set ::env(PL_RESIZER_ALLOW_SETUP_VIOS) 1
|
||||
set ::env(RUN_CTS) 1
|
||||
|
||||
## Routing
|
||||
set ::env(GRT_ADJUSTMENT) 0.2
|
||||
# set ::env(GLB_OVERFLOW_ITERS) 100
|
||||
set ::env(GRT_ALLOW_CONGESTION) 1
|
||||
set ::env(GLB_RESIZER_TIMING_OPTIMIZATIONS) 1
|
||||
set ::env(RT_MAX_LAYER) met4
|
||||
set ::env(DRT_MAX_LAYER) met4
|
||||
|
||||
set ::env(GLB_RESIZER_HOLD_SLACK_MARGIN) 0.4
|
||||
set ::env(GLB_RESIZER_MAX_WIRE_LENGTH) 600
|
||||
set ::env(GLB_RESIZER_MAX_SLEW_MARGIN) "30"
|
||||
set ::env(GLB_RESIZER_MAX_CAP_MARGIN) "30"
|
||||
set ::env(GLB_RESIZER_SETUP_SLACK_MARGIN) 0.2
|
||||
|
||||
## Diode Insertion
|
||||
set ::env(GRT_REPAIR_ANTENNAS) 1
|
||||
set ::env(RUN_HEURISTIC_DIODE_INSERTION) 1
|
||||
set ::env(HEURISTIC_ANTENNA_THRESHOLD) 180
|
||||
set ::env(DIODE_ON_PORTS) "both"
|
||||
set ::env(GRT_ANT_ITERS) 12
|
||||
set ::env(GRT_MAX_DIODE_INS_ITERS) 4
|
||||
set ::env(DIODE_PADDING) 0
|
||||
|
||||
## clock buffering
|
||||
# set ::env(CTS_CLK_BUFFER_LIST) {sky130_fd_sc_hd__clkbuf_8 sky130_fd_sc_hd__clkbuf_4}
|
||||
# set ::env(CTS_ROOT_BUFFER) {sky130_fd_sc_hd__clkbuf_8}
|
||||
# set ::env(CTS_CLK_MAX_WIRE_LENGTH) 120
|
||||
set ::env(CTS_MAX_CAP) 0.25
|
||||
|
||||
set ::env(MAGIC_DEF_LABELS) 0
|
||||
set ::env(RSZ_DONT_TOUCH_RX) {mgmt_gpio_out_9_prebuff|mgmt_gpio_out\[9\]|mgmt_gpio_out_14_prebuff|mgmt_gpio_out\[14\]|mgmt_gpio_out_15_prebuff|mgmt_gpio_out\[15\]|pad_flash_clk\y|pad_flash_clk_prebuff|mgmt_gpio_out\[30\]|mgmt_gpio_out\[31\]}
|
||||
set ::env(FP_PDN_IRDROP) 0
|
|
@ -0,0 +1,53 @@
|
|||
sky130_fd_sc_hd__a2111oi_0
|
||||
sky130_fd_sc_hd__a21boi_0
|
||||
sky130_fd_sc_hd__and2_0
|
||||
sky130_fd_sc_hd__buf_16
|
||||
sky130_fd_sc_hd__clkdlybuf4s15_1
|
||||
sky130_fd_sc_hd__clkdlybuf4s18_1
|
||||
sky130_fd_sc_hd__fa_4
|
||||
sky130_fd_sc_hd__lpflow_bleeder_1
|
||||
sky130_fd_sc_hd__lpflow_clkbufkapwr_1
|
||||
sky130_fd_sc_hd__lpflow_clkbufkapwr_16
|
||||
sky130_fd_sc_hd__lpflow_clkbufkapwr_2
|
||||
sky130_fd_sc_hd__lpflow_clkbufkapwr_4
|
||||
sky130_fd_sc_hd__lpflow_clkbufkapwr_8
|
||||
sky130_fd_sc_hd__lpflow_clkinvkapwr_1
|
||||
sky130_fd_sc_hd__lpflow_clkinvkapwr_16
|
||||
sky130_fd_sc_hd__lpflow_clkinvkapwr_2
|
||||
sky130_fd_sc_hd__lpflow_clkinvkapwr_4
|
||||
sky130_fd_sc_hd__lpflow_clkinvkapwr_8
|
||||
sky130_fd_sc_hd__lpflow_decapkapwr_12
|
||||
sky130_fd_sc_hd__lpflow_decapkapwr_3
|
||||
sky130_fd_sc_hd__lpflow_decapkapwr_4
|
||||
sky130_fd_sc_hd__lpflow_decapkapwr_6
|
||||
sky130_fd_sc_hd__lpflow_decapkapwr_8
|
||||
sky130_fd_sc_hd__lpflow_inputiso0n_1
|
||||
sky130_fd_sc_hd__lpflow_inputiso0p_1
|
||||
sky130_fd_sc_hd__lpflow_inputiso1n_1
|
||||
sky130_fd_sc_hd__lpflow_inputiso1p_1
|
||||
sky130_fd_sc_hd__lpflow_inputisolatch_1
|
||||
sky130_fd_sc_hd__lpflow_isobufsrc_1
|
||||
sky130_fd_sc_hd__lpflow_isobufsrc_16
|
||||
sky130_fd_sc_hd__lpflow_isobufsrc_2
|
||||
sky130_fd_sc_hd__lpflow_isobufsrc_4
|
||||
sky130_fd_sc_hd__lpflow_isobufsrc_8
|
||||
sky130_fd_sc_hd__lpflow_isobufsrckapwr_16
|
||||
sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1
|
||||
sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2
|
||||
sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4
|
||||
sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4
|
||||
sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1
|
||||
sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2
|
||||
sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4
|
||||
sky130_fd_sc_hd__mux4_4
|
||||
sky130_fd_sc_hd__o21ai_0
|
||||
sky130_fd_sc_hd__o311ai_0
|
||||
sky130_fd_sc_hd__or2_0
|
||||
sky130_fd_sc_hd__probe_p_8
|
||||
sky130_fd_sc_hd__probec_p_8
|
||||
sky130_fd_sc_hd__xor3_1
|
||||
sky130_fd_sc_hd__xor3_2
|
||||
sky130_fd_sc_hd__xor3_4
|
||||
sky130_fd_sc_hd__xnor3_1
|
||||
sky130_fd_sc_hd__xnor3_2
|
||||
sky130_fd_sc_hd__xnor3_4
|
|
@ -0,0 +1,63 @@
|
|||
sky130_fd_sc_hd__a2111oi_0
|
||||
sky130_fd_sc_hd__a21boi_0
|
||||
sky130_fd_sc_hd__and2_0
|
||||
sky130_fd_sc_hd__buf_16
|
||||
sky130_fd_sc_hd__clkdlybuf4s15_1
|
||||
sky130_fd_sc_hd__clkdlybuf4s18_1
|
||||
sky130_fd_sc_hd__fa_4
|
||||
sky130_fd_sc_hd__lpflow_bleeder_1
|
||||
sky130_fd_sc_hd__lpflow_clkbufkapwr_1
|
||||
sky130_fd_sc_hd__lpflow_clkbufkapwr_16
|
||||
sky130_fd_sc_hd__lpflow_clkbufkapwr_2
|
||||
sky130_fd_sc_hd__lpflow_clkbufkapwr_4
|
||||
sky130_fd_sc_hd__lpflow_clkbufkapwr_8
|
||||
sky130_fd_sc_hd__lpflow_clkinvkapwr_1
|
||||
sky130_fd_sc_hd__lpflow_clkinvkapwr_16
|
||||
sky130_fd_sc_hd__lpflow_clkinvkapwr_2
|
||||
sky130_fd_sc_hd__lpflow_clkinvkapwr_4
|
||||
sky130_fd_sc_hd__lpflow_clkinvkapwr_8
|
||||
sky130_fd_sc_hd__lpflow_decapkapwr_12
|
||||
sky130_fd_sc_hd__lpflow_decapkapwr_3
|
||||
sky130_fd_sc_hd__lpflow_decapkapwr_4
|
||||
sky130_fd_sc_hd__lpflow_decapkapwr_6
|
||||
sky130_fd_sc_hd__lpflow_decapkapwr_8
|
||||
sky130_fd_sc_hd__lpflow_inputiso0n_1
|
||||
sky130_fd_sc_hd__lpflow_inputiso0p_1
|
||||
sky130_fd_sc_hd__lpflow_inputiso1n_1
|
||||
sky130_fd_sc_hd__lpflow_inputiso1p_1
|
||||
sky130_fd_sc_hd__lpflow_inputisolatch_1
|
||||
sky130_fd_sc_hd__lpflow_isobufsrc_1
|
||||
sky130_fd_sc_hd__lpflow_isobufsrc_16
|
||||
sky130_fd_sc_hd__lpflow_isobufsrc_2
|
||||
sky130_fd_sc_hd__lpflow_isobufsrc_4
|
||||
sky130_fd_sc_hd__lpflow_isobufsrc_8
|
||||
sky130_fd_sc_hd__lpflow_isobufsrckapwr_16
|
||||
sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1
|
||||
sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2
|
||||
sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4
|
||||
sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4
|
||||
sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1
|
||||
sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2
|
||||
sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4
|
||||
sky130_fd_sc_hd__mux4_4
|
||||
sky130_fd_sc_hd__o21ai_0
|
||||
sky130_fd_sc_hd__o311ai_0
|
||||
sky130_fd_sc_hd__or2_0
|
||||
sky130_fd_sc_hd__probe_p_8
|
||||
sky130_fd_sc_hd__probec_p_8
|
||||
sky130_fd_sc_hd__xor3_1
|
||||
sky130_fd_sc_hd__xor3_2
|
||||
sky130_fd_sc_hd__xor3_4
|
||||
sky130_fd_sc_hd__xnor3_1
|
||||
sky130_fd_sc_hd__xnor3_2
|
||||
sky130_fd_sc_hd__xnor3_4
|
||||
sky130_fd_sc_hd__clkbuf_1
|
||||
sky130_fd_sc_hd__clkdlybuf4s15_1
|
||||
sky130_fd_sc_hd__clkdlybuf4s18_1
|
||||
sky130_fd_sc_hd__clkdlybuf4s25_1
|
||||
sky130_fd_sc_hd__clkdlybuf4s50_1
|
||||
sky130_fd_sc_hd__dlygate4sd2_1
|
||||
sky130_fd_sc_hd__dlygate4sd3_1
|
||||
sky130_fd_sc_hd__dlymetal6s4s_1
|
||||
sky130_fd_sc_hd__dlymetal6s6s_1
|
||||
sky130_fd_sc_hd__buf_1
|
|
@ -0,0 +1,227 @@
|
|||
sky130_fd_sc_hd__clkbuf_1
|
||||
sky130_fd_sc_hd__buf_1
|
||||
sky130_fd_sc_hd__clkdlybuf4s15_1
|
||||
sky130_fd_sc_hd__clkdlybuf4s15_2
|
||||
sky130_fd_sc_hd__clkdlybuf4s18_1
|
||||
sky130_fd_sc_hd__clkdlybuf4s18_2
|
||||
sky130_fd_sc_hd__clkdlybuf4s25_1
|
||||
sky130_fd_sc_hd__clkdlybuf4s25_2
|
||||
sky130_fd_sc_hd__clkdlybuf4s50_1
|
||||
sky130_fd_sc_hd__clkdlybuf4s50_2
|
||||
sky130_fd_sc_hd__clkinv_1
|
||||
sky130_fd_sc_hd__clkinv_16
|
||||
sky130_fd_sc_hd__clkinv_2
|
||||
sky130_fd_sc_hd__clkinv_4
|
||||
sky130_fd_sc_hd__clkinv_8
|
||||
sky130_fd_sc_hd__clkinvlp_2
|
||||
sky130_fd_sc_hd__clkinvlp_4
|
||||
sky130_fd_sc_hd__decap_12
|
||||
sky130_fd_sc_hd__decap_3
|
||||
sky130_fd_sc_hd__decap_4
|
||||
sky130_fd_sc_hd__decap_6
|
||||
sky130_fd_sc_hd__decap_8
|
||||
sky130_fd_sc_hd__diode_2
|
||||
sky130_fd_sc_hd__dlclkp_1
|
||||
sky130_fd_sc_hd__dlclkp_2
|
||||
sky130_fd_sc_hd__dlclkp_4
|
||||
sky130_fd_sc_hd__dlrbn_1
|
||||
sky130_fd_sc_hd__dlrbn_2
|
||||
sky130_fd_sc_hd__dlrbp_1
|
||||
sky130_fd_sc_hd__dlrbp_2
|
||||
sky130_fd_sc_hd__dlrtn_1
|
||||
sky130_fd_sc_hd__dlrtn_2
|
||||
sky130_fd_sc_hd__dlrtn_4
|
||||
sky130_fd_sc_hd__dlrtp_1
|
||||
sky130_fd_sc_hd__dlrtp_2
|
||||
sky130_fd_sc_hd__dlrtp_4
|
||||
sky130_fd_sc_hd__dlxbn_1
|
||||
sky130_fd_sc_hd__dlxbn_2
|
||||
sky130_fd_sc_hd__dlxbp_1
|
||||
sky130_fd_sc_hd__dlygate4sd1_1
|
||||
sky130_fd_sc_hd__dlygate4sd2_1
|
||||
sky130_fd_sc_hd__dlygate4sd3_1
|
||||
sky130_fd_sc_hd__dlymetal6s2s_1
|
||||
sky130_fd_sc_hd__dlymetal6s4s_1
|
||||
sky130_fd_sc_hd__dlymetal6s6s_1
|
||||
sky130_fd_sc_hd__edfxbp_1
|
||||
sky130_fd_sc_hd__edfxtp_1
|
||||
sky130_fd_sc_hd__einvn_0
|
||||
sky130_fd_sc_hd__einvn_1
|
||||
sky130_fd_sc_hd__einvn_2
|
||||
sky130_fd_sc_hd__einvn_4
|
||||
sky130_fd_sc_hd__einvn_8
|
||||
sky130_fd_sc_hd__einvp_1
|
||||
sky130_fd_sc_hd__einvp_2
|
||||
sky130_fd_sc_hd__einvp_4
|
||||
sky130_fd_sc_hd__einvp_8
|
||||
sky130_fd_sc_hd__fah_1
|
||||
sky130_fd_sc_hd__fahcin_1
|
||||
sky130_fd_sc_hd__fahcon_1
|
||||
sky130_fd_sc_hd__ha_1
|
||||
sky130_fd_sc_hd__ha_2
|
||||
sky130_fd_sc_hd__ha_4
|
||||
sky130_fd_sc_hd__macro_sparecell
|
||||
sky130_fd_sc_hd__maj3_1
|
||||
sky130_fd_sc_hd__maj3_2
|
||||
sky130_fd_sc_hd__maj3_4
|
||||
sky130_fd_sc_hd__mux2i_1
|
||||
sky130_fd_sc_hd__mux2i_2
|
||||
sky130_fd_sc_hd__mux2i_4
|
||||
sky130_fd_sc_hd__sdfbbn_1
|
||||
sky130_fd_sc_hd__sdfbbn_2
|
||||
sky130_fd_sc_hd__sdfbbp_1
|
||||
sky130_fd_sc_hd__sdfrbp_1
|
||||
sky130_fd_sc_hd__sdfrbp_2
|
||||
sky130_fd_sc_hd__sdfrtn_1
|
||||
sky130_fd_sc_hd__sdfrtp_1
|
||||
sky130_fd_sc_hd__sdfrtp_2
|
||||
sky130_fd_sc_hd__sdfrtp_4
|
||||
sky130_fd_sc_hd__sdfsbp_1
|
||||
sky130_fd_sc_hd__sdfsbp_2
|
||||
sky130_fd_sc_hd__sdfstp_1
|
||||
sky130_fd_sc_hd__sdfstp_2
|
||||
sky130_fd_sc_hd__sdfstp_4
|
||||
sky130_fd_sc_hd__sdfxbp_1
|
||||
sky130_fd_sc_hd__sdfxbp_2
|
||||
sky130_fd_sc_hd__sdfxtp_1
|
||||
sky130_fd_sc_hd__sdfxtp_2
|
||||
sky130_fd_sc_hd__sdfxtp_4
|
||||
sky130_fd_sc_hd__sdlclkp_1
|
||||
sky130_fd_sc_hd__sdlclkp_2
|
||||
sky130_fd_sc_hd__sdlclkp_4
|
||||
sky130_fd_sc_hd__sedfxbp_1
|
||||
sky130_fd_sc_hd__sedfxbp_2
|
||||
sky130_fd_sc_hd__sedfxtp_1
|
||||
sky130_fd_sc_hd__sedfxtp_2
|
||||
sky130_fd_sc_hd__sedfxtp_4
|
||||
sky130_fd_sc_hd__a2111oi_1
|
||||
sky130_fd_sc_hd__a211o_1
|
||||
sky130_fd_sc_hd__a211oi_1
|
||||
sky130_fd_sc_hd__a2111o_1
|
||||
sky130_fd_sc_hd__a21bo_1
|
||||
sky130_fd_sc_hd__a21boi_1
|
||||
sky130_fd_sc_hd__a21o_1
|
||||
sky130_fd_sc_hd__a21oi_1
|
||||
sky130_fd_sc_hd__a221o_1
|
||||
sky130_fd_sc_hd__a221oi_1
|
||||
sky130_fd_sc_hd__a222oi_1
|
||||
sky130_fd_sc_hd__a22o_1
|
||||
sky130_fd_sc_hd__a22oi_1
|
||||
sky130_fd_sc_hd__a2bb2o_1
|
||||
sky130_fd_sc_hd__a2bb2oi_1
|
||||
sky130_fd_sc_hd__a311o_1
|
||||
sky130_fd_sc_hd__a311oi_1
|
||||
sky130_fd_sc_hd__a2111o_1
|
||||
sky130_fd_sc_hd__a21bo_1
|
||||
sky130_fd_sc_hd__a21boi_1
|
||||
sky130_fd_sc_hd__a21o_1
|
||||
sky130_fd_sc_hd__a21oi_1
|
||||
sky130_fd_sc_hd__a221o_1
|
||||
sky130_fd_sc_hd__a221oi_1
|
||||
sky130_fd_sc_hd__a222oi_1
|
||||
sky130_fd_sc_hd__a22o_1
|
||||
sky130_fd_sc_hd__a22oi_1
|
||||
sky130_fd_sc_hd__a2bb2o_1
|
||||
sky130_fd_sc_hd__a2bb2oi_1
|
||||
sky130_fd_sc_hd__a311o_1
|
||||
sky130_fd_sc_hd__a311oi_1
|
||||
sky130_fd_sc_hd__a31o_1
|
||||
sky130_fd_sc_hd__a31oi_1
|
||||
sky130_fd_sc_hd__a32o_1
|
||||
sky130_fd_sc_hd__a32oi_1
|
||||
sky130_fd_sc_hd__a41o_1
|
||||
sky130_fd_sc_hd__a41oi_1
|
||||
sky130_fd_sc_hd__and2_1
|
||||
sky130_fd_sc_hd__and2b_1
|
||||
sky130_fd_sc_hd__and3_1
|
||||
sky130_fd_sc_hd__and3b_1
|
||||
sky130_fd_sc_hd__and4_1
|
||||
sky130_fd_sc_hd__and4b_1
|
||||
sky130_fd_sc_hd__and4bb_1
|
||||
sky130_fd_sc_hd__dfbbn_1
|
||||
sky130_fd_sc_hd__dfbbp_1
|
||||
sky130_fd_sc_hd__dfrbp_1
|
||||
sky130_fd_sc_hd__dfrtp_1
|
||||
sky130_fd_sc_hd__dfsbp_1
|
||||
sky130_fd_sc_hd__dfstp_1
|
||||
sky130_fd_sc_hd__dfxbp_1
|
||||
sky130_fd_sc_hd__dfxtp_1
|
||||
sky130_fd_sc_hd__ebufn_1
|
||||
sky130_fd_sc_hd__inv_1
|
||||
sky130_fd_sc_hd__nand2_1
|
||||
sky130_fd_sc_hd__nand2b_1
|
||||
sky130_fd_sc_hd__nand3_1
|
||||
sky130_fd_sc_hd__nand3b_1
|
||||
sky130_fd_sc_hd__nand4_1
|
||||
sky130_fd_sc_hd__nand4b_1
|
||||
sky130_fd_sc_hd__nand4bb_1
|
||||
sky130_fd_sc_hd__nor2_1
|
||||
sky130_fd_sc_hd__nor2b_1
|
||||
sky130_fd_sc_hd__nor3_1
|
||||
sky130_fd_sc_hd__nor3b_1
|
||||
sky130_fd_sc_hd__nor4_1
|
||||
sky130_fd_sc_hd__nor4b_1
|
||||
sky130_fd_sc_hd__nor4bb_1
|
||||
sky130_fd_sc_hd__o2111a_1
|
||||
sky130_fd_sc_hd__o2111ai_1
|
||||
sky130_fd_sc_hd__o211a_1
|
||||
sky130_fd_sc_hd__o211ai_1
|
||||
sky130_fd_sc_hd__o21a_1
|
||||
sky130_fd_sc_hd__o21ai_1
|
||||
sky130_fd_sc_hd__o21ba_1
|
||||
sky130_fd_sc_hd__o21bai_1
|
||||
sky130_fd_sc_hd__o221a_1
|
||||
sky130_fd_sc_hd__o221ai_1
|
||||
sky130_fd_sc_hd__o22a_1
|
||||
sky130_fd_sc_hd__o22ai_1
|
||||
sky130_fd_sc_hd__o2bb2a_1
|
||||
sky130_fd_sc_hd__o2bb2ai_1
|
||||
sky130_fd_sc_hd__o311a_1
|
||||
sky130_fd_sc_hd__o311ai_1
|
||||
sky130_fd_sc_hd__o31a_1
|
||||
sky130_fd_sc_hd__o31ai_1
|
||||
sky130_fd_sc_hd__o32a_1
|
||||
sky130_fd_sc_hd__o32ai_1
|
||||
sky130_fd_sc_hd__o41a_1
|
||||
sky130_fd_sc_hd__o41ai_1
|
||||
sky130_fd_sc_hd__or2_1
|
||||
sky130_fd_sc_hd__or2b_1
|
||||
sky130_fd_sc_hd__or3_1
|
||||
sky130_fd_sc_hd__or3b_1
|
||||
sky130_fd_sc_hd__or4_1
|
||||
sky130_fd_sc_hd__or4b_1
|
||||
sky130_fd_sc_hd__or4bb_1
|
||||
sky130_fd_sc_hd__xnor2_1
|
||||
sky130_fd_sc_hd__xor2_1
|
||||
sky130_fd_sc_hd__ebufn_2
|
||||
sky130_fd_sc_hd__or2
|
||||
sky130_fd_sc_hd__or2_0
|
||||
sky130_fd_sc_hd__or2_1
|
||||
sky130_fd_sc_hd__or2_2
|
||||
sky130_fd_sc_hd__or2_4
|
||||
sky130_fd_sc_hd__or2b
|
||||
sky130_fd_sc_hd__or2b_1
|
||||
sky130_fd_sc_hd__or2b_2
|
||||
sky130_fd_sc_hd__or2b_4
|
||||
sky130_fd_sc_hd__or3
|
||||
sky130_fd_sc_hd__or3_1
|
||||
sky130_fd_sc_hd__or3_2
|
||||
sky130_fd_sc_hd__or3_4
|
||||
sky130_fd_sc_hd__or3b
|
||||
sky130_fd_sc_hd__or3b_1
|
||||
sky130_fd_sc_hd__or3b_2
|
||||
sky130_fd_sc_hd__or3b_4
|
||||
sky130_fd_sc_hd__or4
|
||||
sky130_fd_sc_hd__or4_1
|
||||
sky130_fd_sc_hd__or4_2
|
||||
sky130_fd_sc_hd__or4_4
|
||||
sky130_fd_sc_hd__or4b
|
||||
sky130_fd_sc_hd__or4b_1
|
||||
sky130_fd_sc_hd__or4b_2
|
||||
sky130_fd_sc_hd__or4b_4
|
||||
sky130_fd_sc_hd__or4bb
|
||||
sky130_fd_sc_hd__or4bb_1
|
||||
sky130_fd_sc_hd__or4bb_2
|
||||
sky130_fd_sc_hd__or4bb_4
|
||||
sky130_fd_sc_hd__nor4_1
|
||||
sky130_fd_sc_hd__nor3_1
|
|
@ -0,0 +1,101 @@
|
|||
#BUS_SORT
|
||||
#W
|
||||
debug_.*
|
||||
|
||||
trap.*
|
||||
|
||||
irq\[0\]
|
||||
irq\[1\]
|
||||
irq\[2\]
|
||||
spi_sdoenb
|
||||
spi_sdo
|
||||
spi_sck
|
||||
spi_csb
|
||||
spi_sdi
|
||||
|
||||
ser_tx
|
||||
ser_rx
|
||||
|
||||
qspi_enabled
|
||||
uart_enabled
|
||||
spi_enabled
|
||||
|
||||
wb_ack_o.*
|
||||
wb_stb_i.*
|
||||
wb_dat_o.*
|
||||
|
||||
spimemio.*
|
||||
|
||||
#E
|
||||
serial_clock
|
||||
serial_resetn
|
||||
serial_load
|
||||
serial_data_1
|
||||
serial_data_2
|
||||
mgmt_gpio_(in|out|oeb)\[0\]
|
||||
mgmt_gpio_(in|out|oeb)\[1\]
|
||||
mgmt_gpio_(in|out|oeb)\[2\]
|
||||
mgmt_gpio_(in|out|oeb)\[3\]
|
||||
mgmt_gpio_(in|out|oeb)\[4\]
|
||||
mgmt_gpio_(in|out|oeb)\[5\]
|
||||
mgmt_gpio_(in|out|oeb)\[6\]
|
||||
mgmt_gpio_(in|out|oeb)\[7\]
|
||||
mgmt_gpio_(in|out|oeb)\[8\]
|
||||
mgmt_gpio_(in|out|oeb)\[9\]
|
||||
mgmt_gpio_(in|out|oeb)\[10\]
|
||||
mgmt_gpio_(in|out|oeb)\[11\]
|
||||
mgmt_gpio_(in|out|oeb)\[12\]
|
||||
mgmt_gpio_(in|out|oeb)\[13\]
|
||||
mgmt_gpio_(in|out|oeb)\[14\]
|
||||
mgmt_gpio_(in|out|oeb)\[15\]
|
||||
mgmt_gpio_(in|out|oeb)\[16\]
|
||||
mgmt_gpio_(in|out|oeb)\[17\]
|
||||
mgmt_gpio_(in|out|oeb)\[18\]
|
||||
mgmt_gpio_(in|out|oeb)\[19\]
|
||||
|
||||
#N
|
||||
wb_adr_i.*
|
||||
wb_dat_i.*
|
||||
wb_sel_i.*
|
||||
|
||||
wb_we_i.*
|
||||
wb_cyc_i.*
|
||||
usr1_vcc_pwrgood
|
||||
usr2_vcc_pwrgood
|
||||
usr1_vdd_pwrgood
|
||||
usr2_vdd_pwrgood
|
||||
mgmt_gpio_(in|out|oeb)\[20\]
|
||||
mgmt_gpio_(in|out|oeb)\[21\]
|
||||
mgmt_gpio_(in|out|oeb)\[22\]
|
||||
mgmt_gpio_(in|out|oeb)\[23\]
|
||||
mgmt_gpio_(in|out|oeb)\[24\]
|
||||
mgmt_gpio_(in|out|oeb)\[25\]
|
||||
mgmt_gpio_(in|out|oeb)\[26\]
|
||||
mgmt_gpio_(in|out|oeb)\[27\]
|
||||
mgmt_gpio_(in|out|oeb)\[28\]
|
||||
mgmt_gpio_(in|out|oeb)\[29\]
|
||||
mgmt_gpio_(in|out|oeb)\[30\]
|
||||
mgmt_gpio_(in|out|oeb)\[31\]
|
||||
mgmt_gpio_(in|out|oeb)\[32\]
|
||||
mgmt_gpio_(in|out|oeb)\[33\]
|
||||
mgmt_gpio_(in|out|oeb)\[34\]
|
||||
mgmt_gpio_(in|out|oeb)\[35\]
|
||||
mgmt_gpio_(in|out|oeb)\[36\]
|
||||
mgmt_gpio_(in|out|oeb)\[37\]
|
||||
|
||||
#S
|
||||
user_clock
|
||||
pad_flash_.*
|
||||
porb
|
||||
reset
|
||||
pll_ena
|
||||
pll_dco_ena
|
||||
pll_div.*
|
||||
pll_sel.*
|
||||
pll90_sel.*
|
||||
pll_trim.*
|
||||
pll_bypass.*
|
||||
wb_clk_i
|
||||
wb_rstn_i
|
||||
mask_rev_in.*
|
||||
pwr_ctrl_out.*
|
|
@ -0,0 +1,146 @@
|
|||
### Housekeeping SDC Update
|
||||
### Mod Rev 2
|
||||
### Date: 7/10/2022
|
||||
|
||||
set ::env(WB_CLK_PERIOD) 25
|
||||
set ::env(SCK_CLK_PERIOD) 100
|
||||
set ::env(RESET_PORT) "wb_rstn_i"
|
||||
set ::env(STD_CELL_LIBRARY) "sky130_fd_sc_hd"
|
||||
|
||||
## MASTER CLOCKS
|
||||
create_clock [get_ports {"wb_clk_i"} ] -name "wb_clk_i" -period $::env(WB_CLK_PERIOD)
|
||||
create_clock [get_ports {"user_clock"} ] -name "user_clock" -period $::env(WB_CLK_PERIOD)
|
||||
create_clock [get_ports {"mgmt_gpio_in[4]"} ] -name "sck" -period $::env(SCK_CLK_PERIOD)
|
||||
##
|
||||
set_propagated_clock [get_clocks {wb_clk_i}]
|
||||
set_propagated_clock [get_clocks {user_clock}]
|
||||
set_propagated_clock [get_clocks {"sck"}]
|
||||
|
||||
## GENERATED CLOCKS
|
||||
# NOTE: change the clock pins whenever the synthesis receipe changes
|
||||
set wbbd_sck_pin [get_pins -of_objects wbbd_sck -filter lib_pin_name==Q]
|
||||
|
||||
create_generated_clock -name "wbbd_sck" -source [get_ports {"wb_clk_i"} ] -divide_by 2 $wbbd_sck_pin
|
||||
|
||||
# paths between wb_clk_i and sck shouldn't be timed
|
||||
set_clock_groups -logically_exclusive -group wb_clk_i -group sck
|
||||
|
||||
set_propagated_clock [all_clocks]
|
||||
|
||||
## FALSE PATHS
|
||||
set_false_path -from [get_ports $::env(RESET_PORT)]
|
||||
set_false_path -from [get_ports "porb"]
|
||||
|
||||
## INPUT/OUTPUT DELAYS
|
||||
set input_delay_value 5
|
||||
set output_delay_value 5
|
||||
puts "\[INFO\]: Setting output delay to: $output_delay_value"
|
||||
puts "\[INFO\]: Setting input delay to: $input_delay_value"
|
||||
|
||||
## INPUT DELAYS
|
||||
set_input_delay $input_delay_value -clock [get_clocks wb_clk_i] [all_inputs]
|
||||
set_input_delay 0 -clock [get_clocks wb_clk_i] [get_port "mgmt_gpio_in[4]"]
|
||||
# set_input_delay 0 -clock [get_clocks wb_clk_i] [get_port "wb_clk_i"]
|
||||
set_input_delay 0 -clock [get_clocks wb_clk_i] [get_port "user_clock"]
|
||||
|
||||
## OUTPUT DELAYS
|
||||
|
||||
# WISHBONE DELAY
|
||||
set_output_delay 0.1 -clock [get_clocks wb_clk_i] [get_ports wb_ack_o]
|
||||
set_output_delay -1.3 -clock [get_clocks wb_clk_i] [get_ports wb_dat_o[*]]
|
||||
|
||||
# PLL DELAYS
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports pll_ena]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports pll_dco_ena]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports pll_div[*]]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports pll_sel[*]]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports pll90_sel[*]]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports pll_trim[*]]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports pll_bypass]
|
||||
|
||||
# SOC DELAYS
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports ser_rx]
|
||||
|
||||
# SPI DELAYS
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports spi_sdi]
|
||||
|
||||
# IRQ
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports irq[*]]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports reset]
|
||||
|
||||
# GPIO
|
||||
# Specify serial_clock as a generated clock signal
|
||||
#set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports serial_clock]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports serial_load]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports serial_resetn]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports serial_data_1]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports serial_data_2]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports mgmt_gpio_out[*]]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports mgmt_gpio_oeb[*]]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports pwr_ctrl_out[*]]
|
||||
|
||||
# FLASH
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports spimemio_flash_io0_di]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports spimemio_flash_io1_di]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports spimemio_flash_io2_di]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports spimemio_flash_io3_di]
|
||||
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports debug_in]
|
||||
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports pad_flash_csb]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports pad_flash_csb_oeb]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports pad_flash_clk]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports pad_flash_clk_oeb]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports pad_flash_io0_oeb]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports pad_flash_io1_oeb]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports pad_flash_io0_ieb]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports pad_flash_io1_ieb]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports pad_flash_io0_do]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports pad_flash_io1_do]
|
||||
set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports pad_flash_io0_ieb]
|
||||
|
||||
# SRAM
|
||||
# set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports sram_ro_clk]
|
||||
# set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports sram_ro_csb]
|
||||
# set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [get_ports sram_ro_addr[*]]
|
||||
|
||||
## OUTPUT LOADS
|
||||
set PT_cap_load 0.21
|
||||
puts "\[INFO\]: Setting load to: $PT_cap_load"
|
||||
set_load $PT_cap_load [all_outputs]
|
||||
|
||||
## TIMING DERATE
|
||||
set ::env(SYNTH_TIMING_DERATE) 0.05
|
||||
puts "\[INFO\]: Setting timing derate to: [expr {$::env(SYNTH_TIMING_DERATE) * 100}] %"
|
||||
set_timing_derate -early [expr {1-$::env(SYNTH_TIMING_DERATE)}]
|
||||
set_timing_derate -late [expr {1+$::env(SYNTH_TIMING_DERATE)}]
|
||||
|
||||
## CLOCK UNCERTAINITY
|
||||
set wb_clk_uncer 0.1
|
||||
set sck_clk_uncer 0.1
|
||||
|
||||
puts "\[INFO\]: Setting WB clock uncertainity to: $wb_clk_uncer"
|
||||
puts "\[INFO\]: Setting SCK clock uncertainity to: $sck_clk_uncer"
|
||||
set_clock_uncertainty $wb_clk_uncer [get_clocks {wb_clk_i}]
|
||||
set_clock_uncertainty $wb_clk_uncer [get_clocks {user_clock}]
|
||||
set_clock_uncertainty $sck_clk_uncer [get_clocks {sck}]
|
||||
set_clock_uncertainty $sck_clk_uncer [get_clocks {wbbd_sck}]
|
||||
|
||||
## CLOCK TRANSITION
|
||||
set wb_clk_tran 0.15
|
||||
set sck_clk_tran 0.15
|
||||
|
||||
puts "\[INFO\]: Setting clock transition to: $wb_clk_tran"
|
||||
puts "\[INFO\]: Setting clock transition to: $sck_clk_tran"
|
||||
|
||||
set_clock_transition $wb_clk_tran [get_clocks {wb_clk_i}]
|
||||
set_clock_transition $wb_clk_tran [get_clocks {user_clock}]
|
||||
set_clock_transition $sck_clk_tran [get_clocks {sck}]
|
||||
|
||||
## FANOUT
|
||||
set ::env(SYNTH_MAX_FANOUT) 20
|
||||
puts "\[INFO\]: Setting maximum fanout to: $::env(SYNTH_MAX_FANOUT)"
|
||||
set_max_fanout $::env(SYNTH_MAX_FANOUT) [current_design]
|
||||
|
||||
## MAX Transition
|
||||
set_max_trans 1.5 [current_design]
|
|
@ -0,0 +1 @@
|
|||
OpenLane 2ec3bfdd25143ee6b1973d31fdbb612f838594cb
|
|
@ -0,0 +1 @@
|
|||
open_pdks af3485525297d5cbe93c129ea853da2d588fac41
|
|
@ -0,0 +1,166 @@
|
|||
Mon May 22 11:42:56 UTC 2023 - Executing "openroad -exit -no_init -python /openlane/scripts/odbpy/lefutil.py get_metal_layers -o /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/layers.list /home/hosni/caravan/OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd__nom.tlef"
|
||||
|
||||
Mon May 22 11:42:56 UTC 2023 - Executing "/openlane/scripts/mergeLef.py -o /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/merged.nom.lef -i /home/hosni/caravan/OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd__nom.tlef {/home/hosni/caravan/OpenLane/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_ef_sc_hd.lef /home/hosni/caravan/OpenLane/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_fd_sc_hd.lef} |& tee /dev/null"
|
||||
|
||||
Mon May 22 11:42:56 UTC 2023 - Executing "/openlane/scripts/mergeLef.py -o /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/merged.min.lef -i /home/hosni/caravan/OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd__min.tlef {/home/hosni/caravan/OpenLane/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_ef_sc_hd.lef /home/hosni/caravan/OpenLane/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_fd_sc_hd.lef} |& tee /dev/null"
|
||||
|
||||
Mon May 22 11:42:56 UTC 2023 - Executing "/openlane/scripts/mergeLef.py -o /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/merged.max.lef -i /home/hosni/caravan/OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd__max.tlef {/home/hosni/caravan/OpenLane/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_ef_sc_hd.lef /home/hosni/caravan/OpenLane/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_fd_sc_hd.lef} |& tee /dev/null"
|
||||
|
||||
Mon May 22 11:42:56 UTC 2023 - Executing "python3 /openlane/scripts/mergeLib.py --output /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/synthesis/merged.lib --name sky130A_merged /home/hosni/caravan/OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib"
|
||||
|
||||
Mon May 22 11:42:57 UTC 2023 - Executing "python3 /openlane/scripts/libtrim.py --cell-file /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/synthesis/trimmed.lib.exclude.list --output /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/synthesis/trimmed.lib /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/synthesis/merged.lib"
|
||||
|
||||
Mon May 22 11:42:57 UTC 2023 - Executing "python3 /openlane/scripts/libtrim.py --cell-file /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/cts/cts.lib.exclude.list --output /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/cts/cts.lib /home/hosni/caravan/OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib"
|
||||
|
||||
Mon May 22 11:42:57 UTC 2023 - Executing "python3 /openlane/scripts/new_tracks.py -i /home/hosni/caravan/OpenLane/pdks//sky130A/libs.tech/openlane/sky130_fd_sc_hd/tracks.info -o /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/routing/config.tracks"
|
||||
|
||||
Mon May 22 11:42:57 UTC 2023 - Executing "echo {OpenLane 2ec3bfdd25143ee6b1973d31fdbb612f838594cb} > /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/OPENLANE_VERSION"
|
||||
|
||||
Mon May 22 11:42:57 UTC 2023 - Executing "bash -c {verilator --lint-only -Wall --Wno-DECLFILENAME --top-module housekeeping_alt -I /home/hosni/caravan/OpenLane/pdks/sky130A/libs.ref/sky130_fd_sc_hd/verilog/primitives.v -I /home/hosni/caravan/OpenLane/pdks/sky130A/libs.ref/sky130_fd_sc_hd/verilog/sky130_fd_sc_hd.v /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/../../verilog/rtl/defines.v /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/../../verilog/rtl/housekeeping_spi.v /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/../../verilog/rtl/housekeeping_alt.v -Wno-fatal --relative-includes |& tee /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/synthesis/verilator.log /dev/null}"
|
||||
|
||||
Mon May 22 11:42:58 UTC 2023 - Executing "sed -E {s/^([[:space:]]+)pg_pin(.*)/\1pin\2\n\1 direction : "inout";/g} /home/hosni/caravan/OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib > /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/synthesis/1-sky130_fd_sc_hd__tt_025C_1v80.no_pg.lib"
|
||||
|
||||
Mon May 22 11:42:58 UTC 2023 - Executing "sed -E {s/^([[:space:]]+)pg_pin(.*)/\1pin\2\n\1 direction : "inout";/g} /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/synthesis/trimmed.lib > /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/synthesis/1-trimmed.no_pg.lib"
|
||||
|
||||
Mon May 22 11:42:58 UTC 2023 - Executing "yosys -c /openlane/scripts/yosys/synth.tcl |& tee /dev/null /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/synthesis/1-synthesis.log"
|
||||
|
||||
Mon May 22 11:43:24 UTC 2023 - Executing "sed -i.bak -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/home\/hosni\/caravan\/caravan-mpw9-PnR\/caravel\/openlane\/housekeeping_alt\/runs\/23_05_22_04_42\/results\/synthesis\/housekeeping_alt.v/} /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/config.tcl"
|
||||
|
||||
Mon May 22 11:43:24 UTC 2023 - Executing "sed -i.bak /defparam/d /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/results/synthesis/housekeeping_alt.v"
|
||||
|
||||
Mon May 22 11:43:24 UTC 2023 - Executing "sta -exit -no_init /openlane/scripts/openroad/sta/multi_corner.tcl |& tee /dev/null /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/synthesis/2-sta.log"
|
||||
|
||||
Mon May 22 11:43:26 UTC 2023 - Executing "openroad -exit /openlane/scripts/openroad/floorplan.tcl |& tee /dev/null /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/floorplan/3-initial_fp.log"
|
||||
|
||||
Mon May 22 11:43:26 UTC 2023 - Executing "openroad -exit -no_init -python /openlane/scripts/odbpy/defutil.py extract_core_dims --output-data /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/dimensions.txt --input-lef /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/merged.nom.lef /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/floorplan/3-initial_fp.def"
|
||||
|
||||
Mon May 22 11:43:27 UTC 2023 - Executing "openroad -exit -no_init -python /openlane/scripts/odbpy/io_place.py --config /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/pin_order.cfg --hor-layer met3 --ver-layer met2 --ver-width-mult 2 --hor-width-mult 2 --hor-extension 0 --ver-extension 0 --length 4 --unmatched-error --input-lef /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/merged.nom.lef --output-def /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/floorplan/4-io.def --output /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/floorplan/4-io.odb /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/floorplan/3-initial_fp.odb |& tee /dev/null /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/floorplan/4-place_io.log"
|
||||
|
||||
Mon May 22 11:43:27 UTC 2023 - Executing "openroad -exit /openlane/scripts/openroad/tapcell.tcl |& tee /dev/null /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/floorplan/5-tap.log"
|
||||
|
||||
Mon May 22 11:43:28 UTC 2023 - Executing "openroad -exit /openlane/scripts/openroad/pdn.tcl |& tee /dev/null /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/floorplan/6-pdn.log"
|
||||
|
||||
Mon May 22 11:43:29 UTC 2023 - Executing "openroad -exit /openlane/scripts/openroad/gpl.tcl |& tee /dev/null /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/placement/7-global.log"
|
||||
|
||||
Mon May 22 11:43:42 UTC 2023 - Executing "sed -i.bak -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/home\/hosni\/caravan\/caravan-mpw9-PnR\/caravel\/openlane\/housekeeping_alt\/runs\/23_05_22_04_42\/tmp\/placement\/7-global.nl.v/} /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/config.tcl"
|
||||
|
||||
Mon May 22 11:43:42 UTC 2023 - Executing "openroad -exit /openlane/scripts/openroad/sta/multi_corner.tcl |& tee /dev/null /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/placement/8-gpl_sta.log"
|
||||
|
||||
Mon May 22 11:43:44 UTC 2023 - Executing "openroad -exit /openlane/scripts/openroad/resizer.tcl |& tee /dev/null /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/placement/9-resizer.log"
|
||||
|
||||
Mon May 22 11:43:50 UTC 2023 - Executing "sed -i.bak -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/home\/hosni\/caravan\/caravan-mpw9-PnR\/caravel\/openlane\/housekeeping_alt\/runs\/23_05_22_04_42\/tmp\/placement\/9-resizer.nl.v/} /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/config.tcl"
|
||||
|
||||
Mon May 22 11:43:50 UTC 2023 - Executing "openroad -exit /openlane/scripts/openroad/dpl.tcl |& tee /dev/null /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/placement/10-detailed.log"
|
||||
|
||||
Mon May 22 11:43:51 UTC 2023 - Executing "sed -i.bak -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/home\/hosni\/caravan\/caravan-mpw9-PnR\/caravel\/openlane\/housekeeping_alt\/runs\/23_05_22_04_42\/results\/placement\/housekeeping_alt.nl.v/} /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/config.tcl"
|
||||
|
||||
Mon May 22 11:43:51 UTC 2023 - Executing "openroad -exit /openlane/scripts/openroad/sta/multi_corner.tcl |& tee /dev/null /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/placement/11-dpl_sta.log"
|
||||
|
||||
Mon May 22 11:43:53 UTC 2023 - Executing "openroad -exit /openlane/scripts/openroad/cts.tcl |& tee /dev/null /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/cts/12-cts.log"
|
||||
|
||||
Mon May 22 11:44:25 UTC 2023 - Executing "openroad -exit /openlane/scripts/openroad/sta/multi_corner.tcl |& tee /dev/null /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/cts/13-cts_sta.log"
|
||||
|
||||
Mon May 22 11:44:29 UTC 2023 - Executing "openroad -exit /openlane/scripts/openroad/resizer_timing.tcl |& tee /dev/null /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/cts/14-resizer.log"
|
||||
|
||||
Mon May 22 11:44:36 UTC 2023 - Executing "sed -i.bak -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/home\/hosni\/caravan\/caravan-mpw9-PnR\/caravel\/openlane\/housekeeping_alt\/runs\/23_05_22_04_42\/tmp\/cts\/14-housekeeping_alt.resized.nl.v/} /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/config.tcl"
|
||||
|
||||
Mon May 22 11:44:36 UTC 2023 - Executing "openroad -exit /openlane/scripts/openroad/resizer_routing_design.tcl |& tee /dev/null /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/routing/15-resizer_design.log"
|
||||
|
||||
Mon May 22 11:45:23 UTC 2023 - Executing "sed -i.bak -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/home\/hosni\/caravan\/caravan-mpw9-PnR\/caravel\/openlane\/housekeeping_alt\/runs\/23_05_22_04_42\/tmp\/15-housekeeping_alt.nl.v/} /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/config.tcl"
|
||||
|
||||
Mon May 22 11:45:23 UTC 2023 - Executing "openroad -exit /openlane/scripts/openroad/sta/multi_corner.tcl |& tee /dev/null /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/routing/16-rsz_design_sta.log"
|
||||
|
||||
Mon May 22 11:45:27 UTC 2023 - Executing "openroad -exit /openlane/scripts/openroad/resizer_routing_timing.tcl |& tee /dev/null /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/routing/17-resizer_timing.log"
|
||||
|
||||
Mon May 22 11:46:00 UTC 2023 - Executing "sed -i.bak -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/home\/hosni\/caravan\/caravan-mpw9-PnR\/caravel\/openlane\/housekeeping_alt\/runs\/23_05_22_04_42\/tmp\/17-housekeeping_alt.nl.v/} /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/config.tcl"
|
||||
|
||||
Mon May 22 11:46:00 UTC 2023 - Executing "openroad -exit /openlane/scripts/openroad/sta/multi_corner.tcl |& tee /dev/null /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/routing/18-rsz_timing_sta.log"
|
||||
|
||||
Mon May 22 11:46:05 UTC 2023 - Executing "openroad -exit -no_init -python /openlane/scripts/odbpy/diodes.py place --diode-cell sky130_fd_sc_hd__diode_2 --diode-pin DIODE --threshold Infinity --side-strategy source --port-protect both --input-lef /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/merged.nom.lef --output-def /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/routing/19-io_diodes.def --output /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/routing/19-io_diodes.odb /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/17-housekeeping_alt.odb |& tee /dev/null /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/routing/19-io_diodes.log"
|
||||
|
||||
Mon May 22 11:46:06 UTC 2023 - Executing "openroad -exit /openlane/scripts/openroad/dpl.tcl |& tee /dev/null /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/routing/20-io_diode_legalization.log"
|
||||
|
||||
Mon May 22 11:46:07 UTC 2023 - Executing "sed -i.bak -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/home\/hosni\/caravan\/caravan-mpw9-PnR\/caravel\/openlane\/housekeeping_alt\/runs\/23_05_22_04_42\/tmp\/routing\/.\/19-io_diode_legalized.nl.v/} /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/config.tcl"
|
||||
|
||||
Mon May 22 11:46:07 UTC 2023 - Executing "openroad -exit -no_init -python /openlane/scripts/odbpy/diodes.py place --diode-cell sky130_fd_sc_hd__diode_2 --diode-pin DIODE --threshold 180 --side-strategy source --port-protect none --input-lef /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/merged.nom.lef --output-def /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/routing/21-diodes.def --output /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/routing/21-diodes.odb /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/routing/./19-io_diode_legalized.odb |& tee /dev/null /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/routing/21-diodes.log"
|
||||
|
||||
Mon May 22 11:46:08 UTC 2023 - Executing "openroad -exit /openlane/scripts/openroad/dpl.tcl |& tee /dev/null /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/routing/22-diode_legalization.log"
|
||||
|
||||
Mon May 22 11:46:09 UTC 2023 - Executing "sed -i.bak -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/home\/hosni\/caravan\/caravan-mpw9-PnR\/caravel\/openlane\/housekeeping_alt\/runs\/23_05_22_04_42\/tmp\/routing\/.\/21-diodes_legalized.nl.v/} /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/config.tcl"
|
||||
|
||||
Mon May 22 11:46:09 UTC 2023 - Executing "openroad -exit /openlane/scripts/openroad/groute.tcl |& tee /dev/null /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/routing/23-global.log"
|
||||
|
||||
Mon May 22 11:46:14 UTC 2023 - Executing "openroad -exit /openlane/scripts/openroad/write_views.tcl |& tee /dev/null /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/routing/23-global_write_netlist.log"
|
||||
|
||||
Mon May 22 11:46:15 UTC 2023 - Executing "sed -i.bak -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/home\/hosni\/caravan\/caravan-mpw9-PnR\/caravel\/openlane\/housekeeping_alt\/runs\/23_05_22_04_42\/tmp\/routing\/global.nl.v/} /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/config.tcl"
|
||||
|
||||
Mon May 22 11:46:15 UTC 2023 - Executing "openroad -exit /openlane/scripts/openroad/sta/multi_corner.tcl |& tee /dev/null /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/routing/25-grt_sta.log"
|
||||
|
||||
Mon May 22 11:46:19 UTC 2023 - Executing "openroad -exit /openlane/scripts/openroad/fill.tcl |& tee /dev/null /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/routing/26-fill.log"
|
||||
|
||||
Mon May 22 11:46:20 UTC 2023 - Executing "sed -i.bak -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/home\/hosni\/caravan\/caravan-mpw9-PnR\/caravel\/openlane\/housekeeping_alt\/runs\/23_05_22_04_42\/tmp\/routing\/26-fill.nl.v/} /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/config.tcl"
|
||||
|
||||
Mon May 22 11:46:20 UTC 2023 - Executing "openroad -exit /openlane/scripts/openroad/droute.tcl |& tee /dev/null /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/routing/27-detailed.log"
|
||||
|
||||
Mon May 22 12:19:45 UTC 2023 - Executing "sed -i.bak -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/home\/hosni\/caravan\/caravan-mpw9-PnR\/caravel\/openlane\/housekeeping_alt\/runs\/23_05_22_04_42\/results\/routing\/housekeeping_alt.nl.v/} /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/config.tcl"
|
||||
|
||||
Mon May 22 12:19:45 UTC 2023 - Executing "python3 /openlane/scripts/drc_rosetta.py tr to_klayout -o /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/reports/routing/drt.klayout.xml --design-name housekeeping_alt /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/reports/routing/drt.drc"
|
||||
|
||||
Mon May 22 12:19:45 UTC 2023 - Executing "openroad -exit -no_init -python /openlane/scripts/odbpy/wire_lengths.py --report-out /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/reports/routing/28-wire_lengths.csv --input-lef /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/merged.nom.lef --output-def /dev/null --output /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/results/routing/housekeeping_alt.odb /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/results/routing/housekeeping_alt.odb |& tee /dev/null /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/routing/28-wire_lengths.log"
|
||||
|
||||
Mon May 22 12:19:46 UTC 2023 - Executing "openroad -exit /openlane/scripts/openroad/rcx.tcl |& tee /dev/null /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/signoff/29-parasitics_extraction.min.log"
|
||||
|
||||
Mon May 22 12:19:52 UTC 2023 - Executing "sta -exit -no_init /openlane/scripts/openroad/sta/multi_corner.tcl |& tee /dev/null /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/signoff/30-rcx_mcsta.min.log"
|
||||
|
||||
Mon May 22 12:20:17 UTC 2023 - Executing "openroad -exit /openlane/scripts/openroad/rcx.tcl |& tee /dev/null /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/signoff/31-parasitics_extraction.max.log"
|
||||
|
||||
Mon May 22 12:20:23 UTC 2023 - Executing "sta -exit -no_init /openlane/scripts/openroad/sta/multi_corner.tcl |& tee /dev/null /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/signoff/32-rcx_mcsta.max.log"
|
||||
|
||||
Mon May 22 12:20:51 UTC 2023 - Executing "openroad -exit /openlane/scripts/openroad/rcx.tcl |& tee /dev/null /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/signoff/33-parasitics_extraction.nom.log"
|
||||
|
||||
Mon May 22 12:20:56 UTC 2023 - Executing "sta -exit -no_init /openlane/scripts/openroad/sta/multi_corner.tcl |& tee /dev/null /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/signoff/34-rcx_mcsta.nom.log"
|
||||
|
||||
Mon May 22 12:21:22 UTC 2023 - Executing "sta -exit -no_init /openlane/scripts/openroad/sta/multi_corner.tcl |& tee /dev/null /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/signoff/35-rcx_sta.log"
|
||||
|
||||
Mon May 22 12:21:29 UTC 2023 - Executing "openroad -exit /openlane/scripts/openroad/irdrop.tcl |& tee /dev/null /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/signoff/36-irdrop.log"
|
||||
|
||||
Mon May 22 12:21:31 UTC 2023 - Executing "magic -noconsole -dnull -rcfile /home/hosni/caravan/OpenLane/pdks//sky130A/libs.tech/magic/sky130A.magicrc < /openlane/scripts/magic/wrapper.tcl |& tee /dev/null /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/signoff/37-gdsii.log"
|
||||
|
||||
Mon May 22 12:21:41 UTC 2023 - Executing "magic -noconsole -dnull -rcfile /home/hosni/caravan/OpenLane/pdks//sky130A/libs.tech/magic/sky130A.magicrc < /openlane/scripts/magic/wrapper.tcl |& tee /dev/null /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/signoff/37-gds_ptrs.log"
|
||||
|
||||
Mon May 22 12:21:48 UTC 2023 - Executing "sed -i.bak -n {/^<< properties >>/,/^<< end >>/p} /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/signoff/gds_ptrs.mag"
|
||||
|
||||
Mon May 22 12:21:48 UTC 2023 - Executing "magic -noconsole -dnull -rcfile /home/hosni/caravan/OpenLane/pdks//sky130A/libs.tech/magic/sky130A.magicrc < /openlane/scripts/magic/wrapper.tcl |& tee /dev/null /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/signoff/37-lef.log"
|
||||
|
||||
Mon May 22 12:22:03 UTC 2023 - Executing "magic -noconsole -dnull -rcfile /home/hosni/caravan/OpenLane/pdks//sky130A/libs.tech/magic/sky130A.magicrc < /openlane/scripts/magic/wrapper.tcl |& tee /dev/null /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/signoff/37-maglef.log"
|
||||
|
||||
Mon May 22 12:22:03 UTC 2023 - Executing "magic -noconsole -dnull -rcfile /home/hosni/caravan/OpenLane/pdks//sky130A/libs.tech/magic/sky130A.magicrc < /openlane/scripts/magic/wrapper.tcl |& tee /dev/null /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/signoff/38-spice.log"
|
||||
|
||||
Mon May 22 12:22:27 UTC 2023 - Executing "openroad -exit -no_init -python /openlane/scripts/odbpy/power_utils.py write_powered_def --output /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/signoff/38-housekeeping_alt.p.def --input-lef /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/merged.nom.lef --power-port VPWR --ground-port VGND --powered-netlist {} /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/results/routing/housekeeping_alt.def |& tee /dev/null /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/signoff/39-write_powered_def.log"
|
||||
|
||||
Mon May 22 12:22:29 UTC 2023 - Executing "openroad -exit /openlane/scripts/openroad/write_views.tcl |& tee /dev/null /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/signoff/39-write_powered_verilog.log"
|
||||
|
||||
Mon May 22 12:22:31 UTC 2023 - Executing "sed -i.bak -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/home\/hosni\/caravan\/caravan-mpw9-PnR\/caravel\/openlane\/housekeeping_alt\/runs\/23_05_22_04_42\/tmp\/signoff\/38-housekeeping_alt.nl.v/} /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/config.tcl"
|
||||
|
||||
Mon May 22 12:22:31 UTC 2023 - Executing "netgen -batch source /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/signoff/41-setup_file.lef.lvs |& tee /dev/null /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/signoff/41-lvs.lef.log"
|
||||
|
||||
Mon May 22 12:22:34 UTC 2023 - Executing "magic -noconsole -dnull -rcfile /home/hosni/caravan/OpenLane/pdks//sky130A/libs.tech/magic/sky130A.magicrc < /openlane/scripts/magic/wrapper.tcl |& tee /dev/null /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/signoff/42-drc.log"
|
||||
|
||||
Mon May 22 12:24:23 UTC 2023 - Executing "python3 /openlane/scripts/drc_rosetta.py magic to_tcl -o /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/reports/signoff/drc.tcl /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/reports/signoff/drc.rpt"
|
||||
|
||||
Mon May 22 12:24:23 UTC 2023 - Executing "python3 /openlane/scripts/drc_rosetta.py magic to_tr -o /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/reports/signoff/drc.tr /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/reports/signoff/drc.rpt"
|
||||
|
||||
Mon May 22 12:24:23 UTC 2023 - Executing "python3 /openlane/scripts/drc_rosetta.py tr to_klayout -o /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/reports/signoff/drc.klayout.xml --design-name housekeeping_alt /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/reports/signoff/drc.tr"
|
||||
|
||||
Mon May 22 12:24:23 UTC 2023 - Executing "python3 /openlane/scripts/drc_rosetta.py magic to_rdb -o /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/reports/signoff/drc.rdb /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/reports/signoff/drc.rpt"
|
||||
|
||||
Mon May 22 12:24:23 UTC 2023 - Executing "openroad -exit /openlane/scripts/openroad/antenna_check.tcl |& tee /dev/null /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/signoff/43-antenna.log"
|
||||
|
||||
Mon May 22 12:24:26 UTC 2023 - Executing "python3 /openlane/scripts/extract_antenna_violators.py --output /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/reports/signoff/43-antenna_violators.rpt /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/signoff/43-antenna.log"
|
||||
|
||||
Mon May 22 12:24:26 UTC 2023 - Executing "awk -v vdd=VPWR -v gnd=VGND -f /home/hosni/caravan/OpenLane/pdks//sky130A/libs.tech/openlane/cvc/power.awk /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/signoff/38-housekeeping_alt.nl.v > /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/signoff/housekeeping_alt.power"
|
||||
|
||||
Mon May 22 12:24:26 UTC 2023 - Executing "awk -f /home/hosni/caravan/OpenLane/pdks//sky130A/libs.tech/openlane/cvc/cdl.awk /home/hosni/caravan/OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/cdl/sky130_fd_sc_hd.cdl /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/results/signoff/housekeeping_alt.lef.spice > /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/signoff/housekeeping_alt.cdl"
|
||||
|
||||
Mon May 22 12:24:26 UTC 2023 - Executing "cvc_rv /home/hosni/caravan/OpenLane/pdks//sky130A/libs.tech/openlane/cvc/cvcrc |& tee /dev/null /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/signoff/44-erc_screen.log"
|
||||
|
|
@ -0,0 +1,413 @@
|
|||
# Saved State
|
||||
set ::env(ANTENNA_CHECK_CURRENT_DEF) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/signoff/38-housekeeping_alt.p.def"
|
||||
set ::env(ANTENNA_VIOLATOR_LIST) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/reports/signoff/43-antenna_violators.rpt"
|
||||
set ::env(BASE_SDC_FILE) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/base.sdc"
|
||||
set ::env(BASIC_PREP_COMPLETE) "1"
|
||||
set ::env(BOTTOM_MARGIN_MULT) "4"
|
||||
set ::env(CARRY_SELECT_ADDER_MAP) "/home/hosni/caravan/OpenLane/pdks//sky130A/libs.tech/openlane/sky130_fd_sc_hd/csa_map.v"
|
||||
set ::env(CELLS_LEF) "/home/hosni/caravan/OpenLane/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_ef_sc_hd.lef /home/hosni/caravan/OpenLane/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_fd_sc_hd.lef"
|
||||
set ::env(CELLS_LEF_OPT) "/home/hosni/caravan/OpenLane/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_ef_sc_hd.lef /home/hosni/caravan/OpenLane/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_fd_sc_hd.lef"
|
||||
set ::env(CELL_CLK_PORT) "CLK"
|
||||
set ::env(CELL_PAD) "0"
|
||||
set ::env(CELL_PAD_EXCLUDE) "sky130_fd_sc_hd__tap* sky130_fd_sc_hd__decap* sky130_ef_sc_hd__decap* sky130_fd_sc_hd__fill*"
|
||||
set ::env(CLK_BUFFER) "sky130_fd_sc_hd__clkbuf_4"
|
||||
set ::env(CLK_BUFFER_INPUT) "A"
|
||||
set ::env(CLK_BUFFER_OUTPUT) "X"
|
||||
set ::env(CLOCK_BUFFER_FANOUT) "16"
|
||||
set ::env(CLOCK_NET) "wb_clk_i csclk mgmt_gpio_in\[4]"
|
||||
set ::env(CLOCK_PERIOD) "10.0"
|
||||
set ::env(CLOCK_PORT) ""
|
||||
set ::env(CLOCK_WIRE_RC_LAYER) "met5"
|
||||
set ::env(CONFIGS) "general.tcl checkers.tcl synthesis.tcl floorplan.tcl cts.tcl placement.tcl routing.tcl extraction.tcl"
|
||||
set ::env(CORE_AREA) "5.52 10.88 404.34 538.56"
|
||||
set ::env(CORE_HEIGHT) "527.68"
|
||||
set ::env(CORE_WIDTH) "398.82"
|
||||
set ::env(CTS_CLK_BUFFER_LIST) "sky130_fd_sc_hd__clkbuf_8 sky130_fd_sc_hd__clkbuf_4 sky130_fd_sc_hd__clkbuf_2"
|
||||
set ::env(CTS_CLK_MAX_WIRE_LENGTH) "0"
|
||||
set ::env(CTS_CURRENT_DEF) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/results/placement/housekeeping_alt.def"
|
||||
set ::env(CTS_DISABLE_POST_PROCESSING) "0"
|
||||
set ::env(CTS_DISTANCE_BETWEEN_BUFFERS) "0"
|
||||
set ::env(CTS_MAX_CAP) "0.25"
|
||||
set ::env(CTS_REPORT_TIMING) "1"
|
||||
set ::env(CTS_ROOT_BUFFER) "sky130_fd_sc_hd__clkbuf_16"
|
||||
set ::env(CTS_SINK_CLUSTERING_MAX_DIAMETER) "50"
|
||||
set ::env(CTS_SINK_CLUSTERING_SIZE) "25"
|
||||
set ::env(CTS_SQR_CAP) "0.258e-3"
|
||||
set ::env(CTS_SQR_RES) "0.125"
|
||||
set ::env(CTS_TARGET_SKEW) "200"
|
||||
set ::env(CTS_TECH_DIR) "N/A"
|
||||
set ::env(CTS_TOLERANCE) "100"
|
||||
set ::env(CURRENT_DEF) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/signoff/38-housekeeping_alt.p.def"
|
||||
set ::env(CURRENT_DIR) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/routing"
|
||||
set ::env(CURRENT_GDS) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/results/signoff/housekeeping_alt.gds"
|
||||
set ::env(CURRENT_GUIDE) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/routing/23-global.guide"
|
||||
set ::env(CURRENT_INDEX) "44"
|
||||
set ::env(CURRENT_LIB) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/results/routing/mca/process_corner_nom/housekeeping_alt.lib"
|
||||
set ::env(CURRENT_NETLIST) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/signoff/38-housekeeping_alt.nl.v"
|
||||
set ::env(CURRENT_ODB) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/results/routing/housekeeping_alt.odb"
|
||||
set ::env(CURRENT_POWERED_NETLIST) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/signoff/38-housekeeping_alt.pnl.v"
|
||||
set ::env(CURRENT_SDC) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/17-housekeeping_alt.sdc"
|
||||
set ::env(CURRENT_SDF) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/results/routing/mca/process_corner_nom/housekeeping_alt.sdf"
|
||||
set ::env(CURRENT_SPEF) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/results/routing/mca/process_corner_nom/housekeeping_alt.spef"
|
||||
set ::env(CURRENT_STEP) ""
|
||||
set ::env(CVC_SCRIPTS_DIR) "/home/hosni/caravan/OpenLane/pdks//sky130A/libs.tech/openlane/cvc"
|
||||
set ::env(DATA_WIRE_RC_LAYER) "met2"
|
||||
set ::env(DECAP_CELL) "sky130_ef_sc_hd__decap_12 sky130_fd_sc_hd__decap_8 sky130_fd_sc_hd__decap_6 sky130_fd_sc_hd__decap_4 sky130_fd_sc_hd__decap_3"
|
||||
set ::env(DEFAULT_MAX_TRAN) "0.75"
|
||||
set ::env(DEF_UNITS_PER_MICRON) "1000"
|
||||
set ::env(DESIGN_CONFIG) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/config.tcl"
|
||||
set ::env(DESIGN_DIR) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt"
|
||||
set ::env(DESIGN_IS_CORE) "0"
|
||||
set ::env(DESIGN_NAME) "housekeeping_alt"
|
||||
set ::env(DETAILED_ROUTER) "tritonroute"
|
||||
set ::env(DIE_AREA) "0.0 0.0 410.23 550.95"
|
||||
set ::env(DIODE_CELL) "sky130_fd_sc_hd__diode_2"
|
||||
set ::env(DIODE_CELL_PIN) "DIODE"
|
||||
set ::env(DIODE_ON_PORTS) "both"
|
||||
set ::env(DIODE_PADDING) "0"
|
||||
set ::env(DONT_USE_CELLS) "sky130_fd_sc_hd__a2111oi_0 sky130_fd_sc_hd__a21boi_0 sky130_fd_sc_hd__and2_0 sky130_fd_sc_hd__buf_16 sky130_fd_sc_hd__clkdlybuf4s15_1 sky130_fd_sc_hd__clkdlybuf4s18_1 sky130_fd_sc_hd__fa_4 sky130_fd_sc_hd__lpflow_bleeder_1 sky130_fd_sc_hd__lpflow_clkbufkapwr_1 sky130_fd_sc_hd__lpflow_clkbufkapwr_16 sky130_fd_sc_hd__lpflow_clkbufkapwr_2 sky130_fd_sc_hd__lpflow_clkbufkapwr_4 sky130_fd_sc_hd__lpflow_clkbufkapwr_8 sky130_fd_sc_hd__lpflow_clkinvkapwr_1 sky130_fd_sc_hd__lpflow_clkinvkapwr_16 sky130_fd_sc_hd__lpflow_clkinvkapwr_2 sky130_fd_sc_hd__lpflow_clkinvkapwr_4 sky130_fd_sc_hd__lpflow_clkinvkapwr_8 sky130_fd_sc_hd__lpflow_decapkapwr_12 sky130_fd_sc_hd__lpflow_decapkapwr_3 sky130_fd_sc_hd__lpflow_decapkapwr_4 sky130_fd_sc_hd__lpflow_decapkapwr_6 sky130_fd_sc_hd__lpflow_decapkapwr_8 sky130_fd_sc_hd__lpflow_inputiso0n_1 sky130_fd_sc_hd__lpflow_inputiso0p_1 sky130_fd_sc_hd__lpflow_inputiso1n_1 sky130_fd_sc_hd__lpflow_inputiso1p_1 sky130_fd_sc_hd__lpflow_inputisolatch_1 sky130_fd_sc_hd__lpflow_isobufsrc_1 sky130_fd_sc_hd__lpflow_isobufsrc_16 sky130_fd_sc_hd__lpflow_isobufsrc_2 sky130_fd_sc_hd__lpflow_isobufsrc_4 sky130_fd_sc_hd__lpflow_isobufsrc_8 sky130_fd_sc_hd__lpflow_isobufsrckapwr_16 sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1 sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2 sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4 sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4 sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1 sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2 sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4 sky130_fd_sc_hd__mux4_4 sky130_fd_sc_hd__o21ai_0 sky130_fd_sc_hd__o311ai_0 sky130_fd_sc_hd__or2_0 sky130_fd_sc_hd__probe_p_8 sky130_fd_sc_hd__probec_p_8 sky130_fd_sc_hd__xor3_1 sky130_fd_sc_hd__xor3_2 sky130_fd_sc_hd__xor3_4 sky130_fd_sc_hd__xnor3_1 sky130_fd_sc_hd__xnor3_2 sky130_fd_sc_hd__xnor3_4 sky130_fd_sc_hd__clkbuf_1 sky130_fd_sc_hd__clkdlybuf4s15_1 sky130_fd_sc_hd__clkdlybuf4s18_1 sky130_fd_sc_hd__clkdlybuf4s25_1 sky130_fd_sc_hd__clkdlybuf4s50_1 sky130_fd_sc_hd__dlygate4sd2_1 sky130_fd_sc_hd__dlygate4sd3_1 sky130_fd_sc_hd__dlymetal6s4s_1 sky130_fd_sc_hd__dlymetal6s6s_1 sky130_fd_sc_hd__buf_1 "
|
||||
set ::env(DPL_CELL_PADDING) "0"
|
||||
set ::env(DRC_CURRENT_DEF) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/signoff/38-housekeeping_alt.p.def"
|
||||
set ::env(DRC_EXCLUDE_CELL_LIST) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/drc_exclude.list"
|
||||
set ::env(DRC_EXCLUDE_CELL_LIST_OPT) "/home/hosni/caravan/OpenLane/pdks//sky130A/libs.tech/openlane/sky130_fd_sc_hd/drc_exclude.cells"
|
||||
set ::env(DRT_MAX_LAYER) "met4"
|
||||
set ::env(DRT_OPT_ITERS) "64"
|
||||
set ::env(EXT_NETLIST) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/results/signoff/housekeeping_alt.spice"
|
||||
set ::env(FAKEDIODE_CELL) "sky130_ef_sc_hd__fakediode_2"
|
||||
set ::env(FILL_CELL) "sky130_fd_sc_hd__fill*"
|
||||
set ::env(FP_ASPECT_RATIO) "1"
|
||||
set ::env(FP_CORE_UTIL) "50"
|
||||
set ::env(FP_ENDCAP_CELL) "sky130_fd_sc_hd__decap_3"
|
||||
set ::env(FP_IO_HEXTEND) "0"
|
||||
set ::env(FP_IO_HLAYER) "met3"
|
||||
set ::env(FP_IO_HLENGTH) "4"
|
||||
set ::env(FP_IO_HTHICKNESS_MULT) "2"
|
||||
set ::env(FP_IO_MIN_DISTANCE) "2"
|
||||
set ::env(FP_IO_MODE) "1"
|
||||
set ::env(FP_IO_UNMATCHED_ERROR) "1"
|
||||
set ::env(FP_IO_VEXTEND) "0"
|
||||
set ::env(FP_IO_VLAYER) "met2"
|
||||
set ::env(FP_IO_VLENGTH) "4"
|
||||
set ::env(FP_IO_VTHICKNESS_MULT) "2"
|
||||
set ::env(FP_PDN_AUTO_ADJUST) "1"
|
||||
set ::env(FP_PDN_CFG) "/openlane/scripts/openroad/common/pdn_cfg.tcl"
|
||||
set ::env(FP_PDN_CHECK_NODES) "1"
|
||||
set ::env(FP_PDN_CORE_RING) "0"
|
||||
set ::env(FP_PDN_CORE_RING_HOFFSET) "6"
|
||||
set ::env(FP_PDN_CORE_RING_HSPACING) "1.7"
|
||||
set ::env(FP_PDN_CORE_RING_HWIDTH) "1.6"
|
||||
set ::env(FP_PDN_CORE_RING_VOFFSET) "6"
|
||||
set ::env(FP_PDN_CORE_RING_VSPACING) "1.7"
|
||||
set ::env(FP_PDN_CORE_RING_VWIDTH) "1.6"
|
||||
set ::env(FP_PDN_ENABLE_GLOBAL_CONNECTIONS) "1"
|
||||
set ::env(FP_PDN_ENABLE_MACROS_GRID) "1"
|
||||
set ::env(FP_PDN_ENABLE_RAILS) "1"
|
||||
set ::env(FP_PDN_HOFFSET) "16.41"
|
||||
set ::env(FP_PDN_HORIZONTAL_HALO) "10"
|
||||
set ::env(FP_PDN_HORIZONTAL_LAYER) "met5"
|
||||
set ::env(FP_PDN_HPITCH) "78.2"
|
||||
set ::env(FP_PDN_HSPACING) "37.5"
|
||||
set ::env(FP_PDN_HWIDTH) "1.6"
|
||||
set ::env(FP_PDN_IRDROP) "0"
|
||||
set ::env(FP_PDN_RAIL_LAYER) "met1"
|
||||
set ::env(FP_PDN_RAIL_OFFSET) "0"
|
||||
set ::env(FP_PDN_RAIL_WIDTH) "0.48"
|
||||
set ::env(FP_PDN_SKIPTRIM) "0"
|
||||
set ::env(FP_PDN_VERTICAL_HALO) "10"
|
||||
set ::env(FP_PDN_VERTICAL_LAYER) "met4"
|
||||
set ::env(FP_PDN_VOFFSET) "16.32"
|
||||
set ::env(FP_PDN_VPITCH) "76.8"
|
||||
set ::env(FP_PDN_VSPACING) "1.7"
|
||||
set ::env(FP_PDN_VWIDTH) "1.6"
|
||||
set ::env(FP_PIN_ORDER_CFG) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/pin_order.cfg"
|
||||
set ::env(FP_SIZING) "absolute"
|
||||
set ::env(FP_TAPCELL_DIST) "13"
|
||||
set ::env(FP_TAP_HORIZONTAL_HALO) "10"
|
||||
set ::env(FP_TAP_VERTICAL_HALO) "10"
|
||||
set ::env(FP_WELLTAP_CELL) "sky130_fd_sc_hd__tapvpwrvgnd_1"
|
||||
set ::env(FULL_ADDER_MAP) "/home/hosni/caravan/OpenLane/pdks//sky130A/libs.tech/openlane/sky130_fd_sc_hd/fa_map.v"
|
||||
set ::env(GDS_FILES) "/home/hosni/caravan/OpenLane/pdks/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_fd_sc_hd.gds"
|
||||
set ::env(GDS_FILES_OPT) "/home/hosni/caravan/OpenLane/pdks/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_fd_sc_hd.gds"
|
||||
set ::env(GENERATE_FINAL_SUMMARY_REPORT) "1"
|
||||
set ::env(GLB_CFG_FILE) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/config.tcl"
|
||||
set ::env(GLB_OPTIMIZE_MIRRORING) "1"
|
||||
set ::env(GLB_RESIZER_ALLOW_SETUP_VIOS) "0"
|
||||
set ::env(GLB_RESIZER_DESIGN_OPTIMIZATIONS) "1"
|
||||
set ::env(GLB_RESIZER_HOLD_MAX_BUFFER_PERCENT) "50"
|
||||
set ::env(GLB_RESIZER_HOLD_SLACK_MARGIN) "0.4"
|
||||
set ::env(GLB_RESIZER_MAX_CAP_MARGIN) "30"
|
||||
set ::env(GLB_RESIZER_MAX_SLEW_MARGIN) "30"
|
||||
set ::env(GLB_RESIZER_MAX_WIRE_LENGTH) "600"
|
||||
set ::env(GLB_RESIZER_SETUP_MAX_BUFFER_PERCENT) "50"
|
||||
set ::env(GLB_RESIZER_SETUP_SLACK_MARGIN) "0.2"
|
||||
set ::env(GLB_RESIZER_TIMING_OPTIMIZATIONS) "1"
|
||||
set ::env(GLOBAL_ROUTER) "fastroute"
|
||||
set ::env(GND_NET) "VGND"
|
||||
set ::env(GND_NETS) "VGND"
|
||||
set ::env(GND_PIN) "VGND"
|
||||
set ::env(GND_PIN_VOLTAGE) "0.00"
|
||||
set ::env(GPIO_PADS_LEF) " /home/hosni/caravan/OpenLane/pdks//sky130A/libs.ref/sky130_fd_io/lef/sky130_fd_io.lef /home/hosni/caravan/OpenLane/pdks//sky130A/libs.ref/sky130_fd_io/lef/sky130_ef_io.lef "
|
||||
set ::env(GPIO_PADS_LEF_CORE_SIDE) " /home/hosni/caravan/OpenLane/pdks//sky130A/libs.tech/openlane/custom_cells/lef/sky130_fd_io_core.lef /home/hosni/caravan/OpenLane/pdks//sky130A/libs.tech/openlane/custom_cells/lef/sky130_ef_io_core.lef "
|
||||
set ::env(GPIO_PADS_PREFIX) "sky130_fd_io sky130_ef_io"
|
||||
set ::env(GPIO_PADS_VERILOG) " /home/hosni/caravan/OpenLane/pdks//sky130A/libs.ref/sky130_fd_io/verilog/sky130_ef_io.v
|
||||
"
|
||||
set ::env(GPL_CELL_PADDING) "0"
|
||||
set ::env(GRT_ADJUSTMENT) "0.2"
|
||||
set ::env(GRT_ALLOW_CONGESTION) "1"
|
||||
set ::env(GRT_ANT_ITERS) "12"
|
||||
set ::env(GRT_ANT_MARGIN) "10"
|
||||
set ::env(GRT_ESTIMATE_PARASITICS) "1"
|
||||
set ::env(GRT_LAYER_ADJUSTMENTS) "0.99,0,0,0,0,0"
|
||||
set ::env(GRT_MACRO_EXTENSION) "0"
|
||||
set ::env(GRT_MAX_DIODE_INS_ITERS) "4"
|
||||
set ::env(GRT_OVERFLOW_ITERS) "50"
|
||||
set ::env(GRT_REPAIR_ANTENNAS) "1"
|
||||
set ::env(HEURISTIC_ANTENNA_INSERTION_MODE) "source"
|
||||
set ::env(HEURISTIC_ANTENNA_THRESHOLD) "180"
|
||||
set ::env(IO_PCT) "0.2"
|
||||
set ::env(IO_READ_DEF) "0"
|
||||
set ::env(KLAYOUT_DEF_LAYER_MAP) "/home/hosni/caravan/OpenLane/pdks//sky130A/libs.tech/klayout/tech/sky130A.map"
|
||||
set ::env(KLAYOUT_DRC_KLAYOUT_GDS) "0"
|
||||
set ::env(KLAYOUT_DRC_TECH_SCRIPT) "/home/hosni/caravan/OpenLane/pdks//sky130A/libs.tech/klayout/drc/sky130A_mr.drc"
|
||||
set ::env(KLAYOUT_PROPERTIES) "/home/hosni/caravan/OpenLane/pdks//sky130A/libs.tech/klayout/tech/sky130A.lyp"
|
||||
set ::env(KLAYOUT_TECH) "/home/hosni/caravan/OpenLane/pdks//sky130A/libs.tech/klayout/tech/sky130A.lyt"
|
||||
set ::env(KLAYOUT_XOR_GDS) "1"
|
||||
set ::env(KLAYOUT_XOR_IGNORE_LAYERS) "81/14"
|
||||
set ::env(KLAYOUT_XOR_THREADS) "1"
|
||||
set ::env(KLAYOUT_XOR_XML) "1"
|
||||
set ::env(LAST_TIMING_REPORT_TAG) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/reports/signoff/35-rcx_sta"
|
||||
set ::env(LEC_ENABLE) "0"
|
||||
set ::env(LEFT_MARGIN_MULT) "12"
|
||||
set ::env(LIB_CTS) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/cts/cts.lib"
|
||||
set ::env(LIB_FASTEST) "/home/hosni/caravan/OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ff_n40C_1v95.lib"
|
||||
set ::env(LIB_SLOWEST) "/home/hosni/caravan/OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ss_100C_1v60.lib"
|
||||
set ::env(LIB_SLOWEST_OPT) "/home/hosni/caravan/OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ss_100C_1v60.lib"
|
||||
set ::env(LIB_SYNTH) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/synthesis/trimmed.lib"
|
||||
set ::env(LIB_SYNTH_COMPLETE) "/home/hosni/caravan/OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib"
|
||||
set ::env(LIB_SYNTH_COMPLETE_NO_PG) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/synthesis/1-sky130_fd_sc_hd__tt_025C_1v80.no_pg.lib"
|
||||
set ::env(LIB_SYNTH_MERGED) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/synthesis/merged.lib"
|
||||
set ::env(LIB_SYNTH_NO_PG) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/synthesis/1-trimmed.no_pg.lib"
|
||||
set ::env(LIB_TYPICAL) "/home/hosni/caravan/OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib"
|
||||
set ::env(LOGS_DIR) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs"
|
||||
set ::env(LVS_CONNECT_BY_LABEL) "0"
|
||||
set ::env(LVS_CURRENT_DEF) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/results/routing/housekeeping_alt.def"
|
||||
set ::env(LVS_INSERT_POWER_PINS) "1"
|
||||
set ::env(MACRO_BLOCKAGES_LAYER) "li1 met1 met2 met3 met4"
|
||||
set ::env(MAGIC_CONVERT_DRC_TO_RDB) "1"
|
||||
set ::env(MAGIC_DEF_LABELS) "0"
|
||||
set ::env(MAGIC_DEF_NO_BLOCKAGES) "1"
|
||||
set ::env(MAGIC_DISABLE_HIER_GDS) "1"
|
||||
set ::env(MAGIC_DRC_USE_GDS) "1"
|
||||
set ::env(MAGIC_EXT_USE_GDS) "0"
|
||||
set ::env(MAGIC_GDS) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/results/signoff/housekeeping_alt.magic.gds"
|
||||
set ::env(MAGIC_GDS_ALLOW_ABSTRACT) "0"
|
||||
set ::env(MAGIC_GDS_POLYGON_SUBCELLS) "0"
|
||||
set ::env(MAGIC_GENERATE_GDS) "1"
|
||||
set ::env(MAGIC_GENERATE_LEF) "1"
|
||||
set ::env(MAGIC_GENERATE_MAGLEF) "1"
|
||||
set ::env(MAGIC_INCLUDE_GDS_POINTERS) "0"
|
||||
set ::env(MAGIC_LEF_WRITE_USE_GDS) "1"
|
||||
set ::env(MAGIC_MAGICRC) "/home/hosni/caravan/OpenLane/pdks//sky130A/libs.tech/magic/sky130A.magicrc"
|
||||
set ::env(MAGIC_PAD) "0"
|
||||
set ::env(MAGIC_TECH_FILE) "/home/hosni/caravan/OpenLane/pdks//sky130A/libs.tech/magic/sky130A.tech"
|
||||
set ::env(MAGIC_WRITE_FULL_LEF) "0"
|
||||
set ::env(MAGIC_ZEROIZE_ORIGIN) "0"
|
||||
set ::env(MAGTYPE) "maglef"
|
||||
set ::env(MAX_METAL_LAYER) "6"
|
||||
set ::env(MC_SDF_DIR) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/results/routing/mca/sdf"
|
||||
set ::env(MC_SPEF_DIR) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/results/routing/mca/spef"
|
||||
set ::env(MERGED_LEF) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/merged.nom.lef"
|
||||
set ::env(MERGED_LEF_MAX) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/merged.max.lef"
|
||||
set ::env(MERGED_LEF_MIN) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/merged.min.lef"
|
||||
set ::env(NETGEN_SETUP_FILE) "/home/hosni/caravan/OpenLane/pdks//sky130A/libs.tech/netgen/sky130A_setup.tcl"
|
||||
set ::env(NO_SYNTH_CELL_LIST) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/no_synth.list"
|
||||
set ::env(OPENLANE_VERBOSE) "1"
|
||||
set ::env(OPENLANE_VERSION) "2ec3bfdd25143ee6b1973d31fdbb612f838594cb"
|
||||
set ::env(PARSITICS_CURRENT_DEF) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/results/routing/housekeeping_alt.def"
|
||||
set ::env(PDK) "sky130A"
|
||||
set ::env(PDKPATH) "/home/hosni/caravan/OpenLane/pdks//sky130A"
|
||||
set ::env(PLACEMENT_CURRENT_DEF) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/results/floorplan/housekeeping_alt.def"
|
||||
set ::env(PLACE_SITE) "unithd"
|
||||
set ::env(PLACE_SITE_HEIGHT) "2.720"
|
||||
set ::env(PLACE_SITE_WIDTH) "0.460"
|
||||
set ::env(PL_BASIC_PLACEMENT) "0"
|
||||
set ::env(PL_ESTIMATE_PARASITICS) "1"
|
||||
set ::env(PL_INIT_COEFF) "0.00002"
|
||||
set ::env(PL_IO_ITER) "5"
|
||||
set ::env(PL_LIB) "/home/hosni/caravan/OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib"
|
||||
set ::env(PL_MACRO_CHANNEL) "0 0"
|
||||
set ::env(PL_MACRO_HALO) "0 0"
|
||||
set ::env(PL_MAX_DISPLACEMENT_X) "500"
|
||||
set ::env(PL_MAX_DISPLACEMENT_Y) "100"
|
||||
set ::env(PL_OPTIMIZE_MIRRORING) "1"
|
||||
set ::env(PL_RANDOM_GLB_PLACEMENT) "0"
|
||||
set ::env(PL_RANDOM_INITIAL_PLACEMENT) "0"
|
||||
set ::env(PL_RESIZER_ALLOW_SETUP_VIOS) "0"
|
||||
set ::env(PL_RESIZER_BUFFER_INPUT_PORTS) "1"
|
||||
set ::env(PL_RESIZER_BUFFER_OUTPUT_PORTS) "1"
|
||||
set ::env(PL_RESIZER_DESIGN_OPTIMIZATIONS) "1"
|
||||
set ::env(PL_RESIZER_HOLD_MAX_BUFFER_PERCENT) "50"
|
||||
set ::env(PL_RESIZER_HOLD_SLACK_MARGIN) "0.1"
|
||||
set ::env(PL_RESIZER_MAX_CAP_MARGIN) "50"
|
||||
set ::env(PL_RESIZER_MAX_SLEW_MARGIN) "50"
|
||||
set ::env(PL_RESIZER_MAX_WIRE_LENGTH) "800"
|
||||
set ::env(PL_RESIZER_REPAIR_TIE_FANOUT) "1"
|
||||
set ::env(PL_RESIZER_SETUP_MAX_BUFFER_PERCENT) "50"
|
||||
set ::env(PL_RESIZER_SETUP_SLACK_MARGIN) "0.4"
|
||||
set ::env(PL_RESIZER_TIE_SEPERATION) "0"
|
||||
set ::env(PL_RESIZER_TIMING_OPTIMIZATIONS) "1"
|
||||
set ::env(PL_ROUTABILITY_DRIVEN) "1"
|
||||
set ::env(PL_SKIP_INITIAL_PLACEMENT) "0"
|
||||
set ::env(PL_TARGET_DENSITY) "0.31"
|
||||
set ::env(PL_TIME_DRIVEN) "1"
|
||||
set ::env(PL_WIRELENGTH_COEF) "0.25"
|
||||
set ::env(PRIMARY_SIGNOFF_TOOL) "magic"
|
||||
set ::env(PROCESS) "130"
|
||||
set ::env(PROCESS_CORNER) "nom"
|
||||
set ::env(QUIT_ON_ASSIGN_STATEMENTS) "0"
|
||||
set ::env(QUIT_ON_HOLD_VIOLATIONS) "1"
|
||||
set ::env(QUIT_ON_ILLEGAL_OVERLAPS) "1"
|
||||
set ::env(QUIT_ON_LONG_WIRE) "0"
|
||||
set ::env(QUIT_ON_LVS_ERROR) "1"
|
||||
set ::env(QUIT_ON_MAGIC_DRC) "1"
|
||||
set ::env(QUIT_ON_SETUP_VIOLATIONS) "1"
|
||||
set ::env(QUIT_ON_SYNTH_CHECKS) "1"
|
||||
set ::env(QUIT_ON_TIMING_VIOLATIONS) "1"
|
||||
set ::env(QUIT_ON_TR_DRC) "1"
|
||||
set ::env(QUIT_ON_UNMAPPED_CELLS) "1"
|
||||
set ::env(QUIT_ON_VERILATOR_ERRORS) "1"
|
||||
set ::env(QUIT_ON_VERILATOR_WARNINGS) "0"
|
||||
set ::env(QUIT_ON_XOR_ERROR) "1"
|
||||
set ::env(RCX_MERGE_VIA_WIRE_RES) "1"
|
||||
set ::env(RCX_RULES) "/home/hosni/caravan/OpenLane/pdks//sky130A/libs.tech/openlane/rules.openrcx.sky130A.nom.calibre"
|
||||
set ::env(RCX_RULES_MAX) "/home/hosni/caravan/OpenLane/pdks//sky130A/libs.tech/openlane/rules.openrcx.sky130A.max.calibre"
|
||||
set ::env(RCX_RULES_MIN) "/home/hosni/caravan/OpenLane/pdks//sky130A/libs.tech/openlane/rules.openrcx.sky130A.min.calibre"
|
||||
set ::env(RCX_SDC_FILE) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/signoff.sdc"
|
||||
set ::env(REPORTS_DIR) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/reports"
|
||||
set ::env(RESULTS_DIR) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/results"
|
||||
set ::env(RE_BUFFER_CELL) "sky130_fd_sc_hd__buf_4"
|
||||
set ::env(RIGHT_MARGIN_MULT) "12"
|
||||
set ::env(RIPPLE_CARRY_ADDER_MAP) "/home/hosni/caravan/OpenLane/pdks//sky130A/libs.tech/openlane/sky130_fd_sc_hd/rca_map.v"
|
||||
set ::env(ROOT_CLK_BUFFER) "sky130_fd_sc_hd__clkbuf_16"
|
||||
set ::env(ROUTING_CORES) "12"
|
||||
set ::env(ROUTING_CURRENT_DEF) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/cts/14-housekeeping_alt.resized.def"
|
||||
set ::env(RSZ_DONT_TOUCH_RX) "mgmt_gpio_out_9_prebuff|mgmt_gpio_out\\\[9\\]|mgmt_gpio_out_14_prebuff|mgmt_gpio_out\\\[14\\]|mgmt_gpio_out_15_prebuff|mgmt_gpio_out\\\[15\\]|pad_flash_clk\\y|pad_flash_clk_prebuff|mgmt_gpio_out\\\[30\\]|mgmt_gpio_out\\\[31\\]"
|
||||
set ::env(RSZ_LIB) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/synthesis/resizer_sky130_fd_sc_hd__tt_025C_1v80.lib"
|
||||
set ::env(RSZ_USE_OLD_REMOVER) "0"
|
||||
set ::env(RT_MAX_LAYER) "met4"
|
||||
set ::env(RT_MIN_LAYER) "met1"
|
||||
set ::env(RUN_CTS) "1"
|
||||
set ::env(RUN_CVC) "1"
|
||||
set ::env(RUN_DIR) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42"
|
||||
set ::env(RUN_DRT) "1"
|
||||
set ::env(RUN_FILL_INSERTION) "1"
|
||||
set ::env(RUN_HEURISTIC_DIODE_INSERTION) "1"
|
||||
set ::env(RUN_IRDROP_REPORT) "1"
|
||||
set ::env(RUN_KLAYOUT) "0"
|
||||
set ::env(RUN_KLAYOUT_DRC) "0"
|
||||
set ::env(RUN_KLAYOUT_XOR) "1"
|
||||
set ::env(RUN_LVS) "1"
|
||||
set ::env(RUN_MAGIC) "1"
|
||||
set ::env(RUN_MAGIC_DRC) "1"
|
||||
set ::env(RUN_SPEF_EXTRACTION) "1"
|
||||
set ::env(RUN_STANDALONE) "1"
|
||||
set ::env(RUN_TAG) "23_05_22_04_42"
|
||||
set ::env(RUN_TAP_DECAP_INSERTION) "1"
|
||||
set ::env(RUN_VERILATOR) "1"
|
||||
set ::env(SCLPATH) "/home/hosni/caravan/OpenLane/pdks//sky130A/sky130_fd_sc_hd"
|
||||
set ::env(SPEF_EXTRACTOR) "openrcx"
|
||||
set ::env(START_TIME) "2023.05.22_11.42.56"
|
||||
set ::env(STA_MULTICORNER_READ_LIBS) "0"
|
||||
set ::env(STA_PRE_CTS) "0"
|
||||
set ::env(STA_REPORT_POWER) "1"
|
||||
set ::env(STA_WRITE_LIB) "1"
|
||||
set ::env(STD_CELL_GROUND_PINS) "VGND VNB"
|
||||
set ::env(STD_CELL_LIBRARY) "sky130_fd_sc_hd"
|
||||
set ::env(STD_CELL_LIBRARY_CDL) "/home/hosni/caravan/OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/cdl/sky130_fd_sc_hd.cdl"
|
||||
set ::env(STD_CELL_LIBRARY_OPT) "sky130_fd_sc_hd"
|
||||
set ::env(STD_CELL_LIBRARY_OPT_CDL) "/home/hosni/caravan/OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/cdl/sky130_fd_sc_hd.cdl"
|
||||
set ::env(STD_CELL_POWER_PINS) "VPWR VPB"
|
||||
set ::env(SYNTH_ADDER_TYPE) "YOSYS"
|
||||
set ::env(SYNTH_BIN) "yosys"
|
||||
set ::env(SYNTH_BUFFERING) "0"
|
||||
set ::env(SYNTH_CAP_LOAD) "33.442"
|
||||
set ::env(SYNTH_CHECKS_ALLOW_TRISTATE) "1"
|
||||
set ::env(SYNTH_CLOCK_TRANSITION) "0.15"
|
||||
set ::env(SYNTH_CLOCK_UNCERTAINTY) "0.25"
|
||||
set ::env(SYNTH_DRIVING_CELL) "sky130_fd_sc_hd__inv_2"
|
||||
set ::env(SYNTH_DRIVING_CELL_PIN) "Y"
|
||||
set ::env(SYNTH_ELABORATE_ONLY) "0"
|
||||
set ::env(SYNTH_EXTRA_MAPPING_FILE) ""
|
||||
set ::env(SYNTH_FLAT_TOP) "0"
|
||||
set ::env(SYNTH_LATCH_MAP) "/home/hosni/caravan/OpenLane/pdks//sky130A/libs.tech/openlane/sky130_fd_sc_hd/latch_map.v"
|
||||
set ::env(SYNTH_MAX_FANOUT) "20"
|
||||
set ::env(SYNTH_MAX_TRAN) "0.75"
|
||||
set ::env(SYNTH_MIN_BUF_PORT) "sky130_fd_sc_hd__buf_2 A X"
|
||||
set ::env(SYNTH_MUX4_MAP) "/home/hosni/caravan/OpenLane/pdks//sky130A/libs.tech/openlane/sky130_fd_sc_hd/mux4_map.v"
|
||||
set ::env(SYNTH_MUX_MAP) "/home/hosni/caravan/OpenLane/pdks//sky130A/libs.tech/openlane/sky130_fd_sc_hd/mux2_map.v"
|
||||
set ::env(SYNTH_NO_FLAT) "0"
|
||||
set ::env(SYNTH_OPT) "0"
|
||||
set ::env(SYNTH_READ_BLACKBOX_LIB) "1"
|
||||
set ::env(SYNTH_SCRIPT) "/openlane/scripts/yosys/synth.tcl"
|
||||
set ::env(SYNTH_SHARE_RESOURCES) "1"
|
||||
set ::env(SYNTH_SIZING) "0"
|
||||
set ::env(SYNTH_STRATEGY) "DELAY 0"
|
||||
set ::env(SYNTH_TIEHI_PORT) "sky130_fd_sc_hd__conb_1 HI"
|
||||
set ::env(SYNTH_TIELO_PORT) "sky130_fd_sc_hd__conb_1 LO"
|
||||
set ::env(SYNTH_TIMING_DERATE) "0.05"
|
||||
set ::env(TAKE_LAYOUT_SCROT) "0"
|
||||
set ::env(TECH_LEF) "/home/hosni/caravan/OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd__nom.tlef"
|
||||
set ::env(TECH_LEF_MAX) "/home/hosni/caravan/OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd__max.tlef"
|
||||
set ::env(TECH_LEF_MIN) "/home/hosni/caravan/OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd__min.tlef"
|
||||
set ::env(TECH_LEF_OPT) "/home/hosni/caravan/OpenLane/pdks//sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd__nom.tlef"
|
||||
set ::env(TECH_METAL_LAYERS) "li1 met1 met2 met3 met4 met5"
|
||||
set ::env(TERMINAL_OUTPUT) "/dev/null"
|
||||
set ::env(TMP_DIR) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp"
|
||||
set ::env(TOP_MARGIN_MULT) "4"
|
||||
set ::env(TRACKS_INFO_FILE) "/home/hosni/caravan/OpenLane/pdks//sky130A/libs.tech/openlane/sky130_fd_sc_hd/tracks.info"
|
||||
set ::env(TRACKS_INFO_FILE_PROCESSED) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/routing/config.tracks"
|
||||
set ::env(TRISTATE_BUFFER_MAP) "/home/hosni/caravan/OpenLane/pdks//sky130A/libs.tech/openlane/sky130_fd_sc_hd/tribuff_map.v"
|
||||
set ::env(TRISTATE_CELL_PREFIX) "sky130_fd_sc_hd__ebuf"
|
||||
set ::env(USE_ARC_ANTENNA_CHECK) "1"
|
||||
set ::env(USE_GPIO_PADS) "0"
|
||||
set ::env(VCHECK_OUTPUT) ""
|
||||
set ::env(VDD_NET) "VPWR"
|
||||
set ::env(VDD_NETS) "VPWR"
|
||||
set ::env(VDD_PIN) "VPWR"
|
||||
set ::env(VDD_PIN_VOLTAGE) "1.80"
|
||||
set ::env(VERILATOR_RELATIVE_INCLUDES) "1"
|
||||
set ::env(VERILOG_FILES) " /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/../../verilog/rtl/defines.v /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/../../verilog/rtl/housekeeping_spi.v /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/../../verilog/rtl/housekeeping_alt.v"
|
||||
set ::env(WIRE_RC_LAYER) "met1"
|
||||
set ::env(YOSYS_REWRITE_VERILOG) "0"
|
||||
set ::env(_tmp_drt_file_prefix) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/routing/drt"
|
||||
set ::env(_tmp_drt_rpt_prefix) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/reports/routing/drt"
|
||||
set ::env(cts_logs) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/cts"
|
||||
set ::env(cts_reports) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/reports/cts"
|
||||
set ::env(cts_results) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/results/cts"
|
||||
set ::env(cts_tmpfiles) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/cts"
|
||||
set ::env(drc_prefix) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/reports/signoff/drc"
|
||||
set ::env(floorplan_logs) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/floorplan"
|
||||
set ::env(floorplan_reports) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/reports/floorplan"
|
||||
set ::env(floorplan_results) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/results/floorplan"
|
||||
set ::env(floorplan_tmpfiles) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/floorplan"
|
||||
set ::env(fp_report_prefix) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/reports/floorplan/3-initial_fp"
|
||||
set ::env(placement_logs) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/placement"
|
||||
set ::env(placement_reports) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/reports/placement"
|
||||
set ::env(placement_results) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/results/placement"
|
||||
set ::env(placement_tmpfiles) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/placement"
|
||||
set ::env(routing_logs) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/routing"
|
||||
set ::env(routing_reports) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/reports/routing"
|
||||
set ::env(routing_results) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/results/routing"
|
||||
set ::env(routing_tmpfiles) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/routing"
|
||||
set ::env(signoff_logs) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/signoff"
|
||||
set ::env(signoff_reports) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/reports/signoff"
|
||||
set ::env(signoff_results) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/results/signoff"
|
||||
set ::env(signoff_tmpfiles) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/signoff"
|
||||
set ::env(synth_report_prefix) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/reports/synthesis/1-synthesis"
|
||||
set ::env(synthesis_logs) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/synthesis"
|
||||
set ::env(synthesis_reports) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/reports/synthesis"
|
||||
set ::env(synthesis_results) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/results/synthesis"
|
||||
set ::env(synthesis_tmpfiles) "/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/synthesis"
|
||||
set ::env(timer_end) "1684758267"
|
||||
set ::env(timer_routed) "1684757986"
|
||||
set ::env(timer_start) "1684755776"
|
|
@ -0,0 +1,111 @@
|
|||
# SPDX-FileCopyrightText: 2020 Efabless Corporation
|
||||
#
|
||||
# Licensed under the Apache License, Version 2.0 (the "License");
|
||||
# you may not use this file except in compliance with the License.
|
||||
# You may obtain a copy of the License at
|
||||
#
|
||||
# http://www.apache.org/licenses/LICENSE-2.0
|
||||
#
|
||||
# Unless required by applicable law or agreed to in writing, software
|
||||
# distributed under the License is distributed on an "AS IS" BASIS,
|
||||
# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
|
||||
# See the License for the specific language governing permissions and
|
||||
# limitations under the License.
|
||||
# SPDX-License-Identifier: Apache-2.0
|
||||
|
||||
## This should be changed to point at Caravel root
|
||||
set ::env(CARAVEL_ROOT) $::env(DESIGN_DIR)/../..
|
||||
set ::env(STA_WRITE_LIB) 1
|
||||
set ::env(DESIGN_IS_CORE) 0
|
||||
|
||||
set ::env(DESIGN_NAME) "housekeeping_alt"
|
||||
set ::env(ROUTING_CORES) 12
|
||||
set ::env(RUN_KLAYOUT) 0
|
||||
set ::env(PDK) "sky130A"
|
||||
|
||||
set ::env(VERILOG_FILES) "\
|
||||
$::env(DESIGN_DIR)/../../verilog/rtl/defines.v\
|
||||
$::env(DESIGN_DIR)/../../verilog/rtl/housekeeping_spi.v\
|
||||
$::env(DESIGN_DIR)/../../verilog/rtl/housekeeping_alt.v"
|
||||
|
||||
set ::env(CLOCK_PORT) ""
|
||||
set ::env(CLOCK_NET) "wb_clk_i csclk mgmt_gpio_in\[4\]"
|
||||
set ::env(RUN_CTS) 1
|
||||
|
||||
|
||||
set ::env(BASE_SDC_FILE) [glob $::env(DESIGN_DIR)/base.sdc]
|
||||
set ::env(RCX_SDC_FILE) [glob $::env(DESIGN_DIR)/signoff.sdc]
|
||||
|
||||
## Synthesis
|
||||
set ::env(NO_SYNTH_CELL_LIST) [glob $::env(DESIGN_DIR)/no_synth.list]
|
||||
set ::env(DRC_EXCLUDE_CELL_LIST) [glob $::env(DESIGN_DIR)/drc_exclude.list]
|
||||
set ::env(SYNTH_STRATEGY) "DELAY 0"
|
||||
|
||||
set ::env(SYNTH_MAX_FANOUT) 20
|
||||
set ::env(SYNTH_BUFFERING) 0
|
||||
set ::env(SYNTH_READ_BLACKBOX_LIB) 1
|
||||
|
||||
## Floorplan
|
||||
set ::env(FP_SIZING) absolute
|
||||
set ::env(DIE_AREA) "0 0 410.230 550.950"
|
||||
|
||||
set ::env(FP_PIN_ORDER_CFG) [glob $::env(DESIGN_DIR)/pin_order.cfg]
|
||||
|
||||
set ::env(FP_IO_MIN_DISTANCE) 2
|
||||
|
||||
set ::env(CELL_PAD) 0
|
||||
|
||||
set ::env(FP_PDN_CORE_RING) 0
|
||||
set ::env(FP_PDN_HPITCH) 78.2
|
||||
set ::env(FP_PDN_VPITCH) 76.8
|
||||
set ::env(FP_PDN_HSPACING) 37.5
|
||||
set ::env(FP_PDN_HOFFSET) 16.41
|
||||
|
||||
## Placement
|
||||
set ::env(PL_TARGET_DENSITY) 0.31
|
||||
set ::env(PL_TIME_DRIVEN) 1
|
||||
set ::env(PL_RESIZER_DESIGN_OPTIMIZATIONS) 1
|
||||
set ::env(PL_RESIZER_TIMING_OPTIMIZATIONS) 1
|
||||
set ::env(PL_RESIZER_MAX_WIRE_LENGTH) 800
|
||||
set ::env(PL_RESIZER_SETUP_SLACK_MARGIN) 0.4
|
||||
|
||||
set ::env(PL_RESIZER_HOLD_SLACK_MARGIN) 0.1
|
||||
set ::env(PL_RESIZER_MAX_SLEW_MARGIN) "50"
|
||||
set ::env(PL_RESIZER_MAX_CAP_MARGIN) "50"
|
||||
|
||||
# set ::env(PL_RESIZER_HOLD_MAX_BUFFER_PERCENT) 50
|
||||
# set ::env(PL_RESIZER_ALLOW_SETUP_VIOS) 1
|
||||
set ::env(RUN_CTS) 1
|
||||
|
||||
## Routing
|
||||
set ::env(GRT_ADJUSTMENT) 0.2
|
||||
# set ::env(GLB_OVERFLOW_ITERS) 100
|
||||
set ::env(GRT_ALLOW_CONGESTION) 1
|
||||
set ::env(GLB_RESIZER_TIMING_OPTIMIZATIONS) 1
|
||||
set ::env(RT_MAX_LAYER) met4
|
||||
set ::env(DRT_MAX_LAYER) met4
|
||||
|
||||
set ::env(GLB_RESIZER_HOLD_SLACK_MARGIN) 0.4
|
||||
set ::env(GLB_RESIZER_MAX_WIRE_LENGTH) 600
|
||||
set ::env(GLB_RESIZER_MAX_SLEW_MARGIN) "30"
|
||||
set ::env(GLB_RESIZER_MAX_CAP_MARGIN) "30"
|
||||
set ::env(GLB_RESIZER_SETUP_SLACK_MARGIN) 0.2
|
||||
|
||||
## Diode Insertion
|
||||
set ::env(GRT_REPAIR_ANTENNAS) 1
|
||||
set ::env(RUN_HEURISTIC_DIODE_INSERTION) 1
|
||||
set ::env(HEURISTIC_ANTENNA_THRESHOLD) 180
|
||||
set ::env(DIODE_ON_PORTS) "both"
|
||||
set ::env(GRT_ANT_ITERS) 12
|
||||
set ::env(GRT_MAX_DIODE_INS_ITERS) 4
|
||||
set ::env(DIODE_PADDING) 0
|
||||
|
||||
## clock buffering
|
||||
# set ::env(CTS_CLK_BUFFER_LIST) {sky130_fd_sc_hd__clkbuf_8 sky130_fd_sc_hd__clkbuf_4}
|
||||
# set ::env(CTS_ROOT_BUFFER) {sky130_fd_sc_hd__clkbuf_8}
|
||||
# set ::env(CTS_CLK_MAX_WIRE_LENGTH) 120
|
||||
set ::env(CTS_MAX_CAP) 0.25
|
||||
|
||||
set ::env(MAGIC_DEF_LABELS) 0
|
||||
set ::env(RSZ_DONT_TOUCH_RX) {mgmt_gpio_out_9_prebuff|mgmt_gpio_out\[9\]|mgmt_gpio_out_14_prebuff|mgmt_gpio_out\[14\]|mgmt_gpio_out_15_prebuff|mgmt_gpio_out\[15\]|pad_flash_clk\y|pad_flash_clk_prebuff|mgmt_gpio_out\[30\]|mgmt_gpio_out\[31\]}
|
||||
set ::env(FP_PDN_IRDROP) 0
|
|
@ -0,0 +1,19 @@
|
|||
Design Name: housekeeping_alt
|
||||
Run Directory: /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42
|
||||
----------------------------------------
|
||||
|
||||
Magic DRC Summary:
|
||||
Source: /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/reports/signoff/drc.rpt
|
||||
Total Magic DRC violations is 0
|
||||
----------------------------------------
|
||||
|
||||
LVS Summary:
|
||||
Source: /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/signoff/41-housekeeping_alt.lef.lvs.log
|
||||
Number of nets: 8487 |Number of nets: 8487
|
||||
Design is LVS clean.
|
||||
----------------------------------------
|
||||
|
||||
Antenna Summary:
|
||||
Source: /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/logs/signoff/43-antenna.log
|
||||
Pin violations: 3
|
||||
Net violations: 3
|
|
@ -0,0 +1,2 @@
|
|||
design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Final_Util,Peak_Memory_Usage_MB,synth_cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,pin_antenna_violations,net_antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,DecapCells,WelltapCells,DiodeCells,FillCells,NonPhysCells,TotalCells,CoreArea_um^2,power_slowest_internal_uW,power_slowest_switching_uW,power_slowest_leakage_uW,power_typical_internal_uW,power_typical_switching_uW,power_typical_leakage_uW,power_fastest_internal_uW,power_fastest_switching_uW,power_fastest_leakage_uW,critical_path_ns,suggested_clock_period,suggested_clock_frequency,CLOCK_PERIOD,FP_ASPECT_RATIO,FP_CORE_UTIL,FP_PDN_HPITCH,FP_PDN_VPITCH,GRT_ADJUSTMENT,GRT_REPAIR_ANTENNAS,PL_TARGET_DENSITY,RUN_HEURISTIC_DIODE_INSERTION,STD_CELL_LIBRARY,SYNTH_MAX_FANOUT,SYNTH_STRATEGY
|
||||
/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt,housekeeping_alt,23_05_22_04_42,flow completed,0h41m31s0ms,0h36m50s0ms,73543.39485155133,0.2260162185,36771.69742577567,27.24,-1,1646.04,4281,0,0,0,0,0,0,0,3,3,0,-1,-1,557033,82743,0.0,-1,-1,-1,0.0,0.0,-1,-1,-1,0.0,310192354.0,0.0,48.78,60.85,24.62,35.38,-1,8379,9441,195,1204,0,0,0,9107,140,1,143,322,4531,44,5,172,945,893,30,7604,2940,6191,4007,8311,29053,210449.33759999997,-1,-1,-1,0.00658,0.00716,6.83e-08,-1,-1,-1,13.81,10.0,100.0,10.0,1,50,78.2,76.8,0.2,1,0.31,1,sky130_fd_sc_hd,20,DELAY 0
|
|
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
|
@ -0,0 +1,17 @@
|
|||
|
||||
===========================================================================
|
||||
report_power
|
||||
============================================================================
|
||||
======================= Typical Corner ===================================
|
||||
|
||||
Group Internal Switching Leakage Total
|
||||
Power Power Power Power (Watts)
|
||||
----------------------------------------------------------------
|
||||
Sequential 1.21e-03 1.02e-03 8.34e-09 2.23e-03 16.2%
|
||||
Combinational 5.37e-03 6.14e-03 5.99e-08 1.15e-02 83.8%
|
||||
Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
|
||||
Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
|
||||
----------------------------------------------------------------
|
||||
Total 6.58e-03 7.16e-03 6.83e-08 1.37e-02 100.0%
|
||||
47.9% 52.1% 0.0%
|
||||
|
|
@ -0,0 +1 @@
|
|||
SKIPPED!
|
|
@ -0,0 +1,20 @@
|
|||
|
||||
===========================================================================
|
||||
report_tns
|
||||
============================================================================
|
||||
tns 0.00
|
||||
|
||||
===========================================================================
|
||||
report_wns
|
||||
============================================================================
|
||||
wns 0.00
|
||||
|
||||
===========================================================================
|
||||
report_worst_slack -max (Setup)
|
||||
============================================================================
|
||||
worst slack 6.09
|
||||
|
||||
===========================================================================
|
||||
report_worst_slack -min (Hold)
|
||||
============================================================================
|
||||
worst slack 0.33
|
File diff suppressed because it is too large
Load Diff
|
@ -0,0 +1,3 @@
|
|||
LVS reports no net, device, pin, or property mismatches.
|
||||
|
||||
Total errors = 0
|
|
@ -0,0 +1,3 @@
|
|||
_2693_
|
||||
gpio_configure\[18\]\[8\]
|
||||
net468
|
|
@ -0,0 +1,10 @@
|
|||
<?xml version="1.0" ?>
|
||||
<report-database>
|
||||
<categories/>
|
||||
<cells>
|
||||
<cell>
|
||||
<name>housekeeping_alt</name>
|
||||
</cell>
|
||||
</cells>
|
||||
<items/>
|
||||
</report-database>
|
|
@ -0,0 +1 @@
|
|||
$housekeeping_alt 100
|
|
@ -0,0 +1,5 @@
|
|||
housekeeping_alt
|
||||
----------------------------------------
|
||||
[INFO]: COUNT: 0
|
||||
[INFO]: Should be divided by 3 or 4
|
||||
|
|
@ -0,0 +1,51 @@
|
|||
CVC: Log output to /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/reports/signoff/housekeeping_alt.rpt
|
||||
CVC: Error output to /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/reports/signoff/housekeeping_alt.rpt.error.gz
|
||||
CVC: Debug output to /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/reports/signoff/housekeeping_alt.rpt.debug.gz
|
||||
CVC: Circuit Validation Check Version 1.1.4
|
||||
CVC: Start: Mon May 22 12:24:26 2023
|
||||
|
||||
Using the following parameters for CVC (Circuit Validation Check) from /home/hosni/caravan/OpenLane/pdks//sky130A/libs.tech/openlane/cvc/cvcrc
|
||||
CVC_TOP = 'housekeeping_alt'
|
||||
CVC_NETLIST = '/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/signoff/housekeeping_alt.cdl'
|
||||
CVC_MODE = 'housekeeping_alt'
|
||||
CVC_MODEL_FILE = '/home/hosni/caravan/OpenLane/pdks//sky130A/libs.tech/openlane/cvc/models'
|
||||
CVC_POWER_FILE = '/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/signoff/housekeeping_alt.power'
|
||||
CVC_FUSE_FILE = ''
|
||||
CVC_REPORT_FILE = '/home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/reports/signoff/housekeeping_alt.rpt'
|
||||
CVC_REPORT_TITLE = 'CVC $CVC_TOP'
|
||||
CVC_CIRCUIT_ERROR_LIMIT = '100'
|
||||
CVC_SEARCH_LIMIT = '100'
|
||||
CVC_LEAK_LIMIT = '0.0002'
|
||||
CVC_SOI = 'false'
|
||||
CVC_SCRC = 'false'
|
||||
CVC_VTH_GATES = 'false'
|
||||
CVC_MIN_VTH_GATES = 'false'
|
||||
CVC_IGNORE_VTH_FLOATING = 'false'
|
||||
CVC_IGNORE_NO_LEAK_FLOATING = 'false'
|
||||
CVC_LEAK_OVERVOLTAGE = 'true'
|
||||
CVC_LOGIC_DIODES = 'false'
|
||||
CVC_ANALOG_GATES = 'true'
|
||||
CVC_BACKUP_RESULTS = 'false'
|
||||
CVC_MOS_DIODE_ERROR_THRESHOLD = '0'
|
||||
CVC_SHORT_ERROR_THRESHOLD = '0'
|
||||
CVC_BIAS_ERROR_THRESHOLD = '0'
|
||||
CVC_FORWARD_ERROR_THRESHOLD = '0'
|
||||
CVC_FLOATING_ERROR_THRESHOLD = '0'
|
||||
CVC_GATE_ERROR_THRESHOLD = '0'
|
||||
CVC_LEAK?_ERROR_THRESHOLD = '0'
|
||||
CVC_EXPECTED_ERROR_THRESHOLD = '0'
|
||||
CVC_OVERVOLTAGE_ERROR_THRESHOLD = '0'
|
||||
CVC_PARALLEL_CIRCUIT_PORT_LIMIT = '0'
|
||||
CVC_CELL_ERROR_LIMIT_FILE = ''
|
||||
CVC_CELL_CHECKSUM_FILE = ''
|
||||
CVC_LARGE_CIRCUIT_SIZE = '10000000'
|
||||
CVC_NET_CHECK_FILE = ''
|
||||
CVC_MODEL_CHECK_FILE = ''
|
||||
End of parameters
|
||||
|
||||
CVC: Reading device model settings...
|
||||
CVC: Reading power settings...
|
||||
CVC: Parsing netlist /home/hosni/caravan/caravan-mpw9-PnR/caravel/openlane/housekeeping_alt/runs/23_05_22_04_42/tmp/signoff/housekeeping_alt.cdl
|
||||
Cdl fixed data size 517937
|
||||
Usage CDL: Time: 0 Memory: 97204 I/O: 8 Swap: 0
|
||||
CVC: Counting and linking...
|
Binary file not shown.
Binary file not shown.
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
File diff suppressed because one or more lines are too long
|
@ -0,0 +1,142 @@
|
|||
- status: 0 - openlane design prep
|
||||
runtime_s: 1.87
|
||||
runtime_ts: 0h0m1s873ms
|
||||
- status: 1 - synthesis - yosys
|
||||
runtime_s: 26.25
|
||||
runtime_ts: 0h0m26s254ms
|
||||
- status: 2 - sta - openroad
|
||||
runtime_s: 1.25
|
||||
runtime_ts: 0h0m1s248ms
|
||||
- status: 3 - floorplan initialization - openroad
|
||||
runtime_s: 0.7
|
||||
runtime_ts: 0h0m0s704ms
|
||||
- status: 4 - io_place - openlane
|
||||
runtime_s: 0.47
|
||||
runtime_ts: 0h0m0s465ms
|
||||
- status: 5 - tap/decap insertion - openroad
|
||||
runtime_s: 0.55
|
||||
runtime_ts: 0h0m0s548ms
|
||||
- status: 6 - pdn generation - openroad
|
||||
runtime_s: 0.8
|
||||
runtime_ts: 0h0m0s796ms
|
||||
- status: 7 - global placement - openroad
|
||||
runtime_s: 12.89
|
||||
runtime_ts: 0h0m12s890ms
|
||||
- status: 8 - sta - openroad
|
||||
runtime_s: 2.27
|
||||
runtime_ts: 0h0m2s273ms
|
||||
- status: 9 - resizer design optimizations - openroad
|
||||
runtime_s: 5.72
|
||||
runtime_ts: 0h0m5s716ms
|
||||
- status: 10 - detailed placement - openroad
|
||||
runtime_s: 0.95
|
||||
runtime_ts: 0h0m0s947ms
|
||||
- status: 11 - sta - openroad
|
||||
runtime_s: 2.13
|
||||
runtime_ts: 0h0m2s129ms
|
||||
- status: 12 - cts - openroad
|
||||
runtime_s: 31.16
|
||||
runtime_ts: 0h0m31s164ms
|
||||
- status: 13 - sta - openroad
|
||||
runtime_s: 4.03
|
||||
runtime_ts: 0h0m4s27ms
|
||||
- status: 14 - resizer timing optimizations - openroad
|
||||
runtime_s: 7.49
|
||||
runtime_ts: 0h0m7s493ms
|
||||
- status: 15 - resizer design optimizations - openroad
|
||||
runtime_s: 46.26
|
||||
runtime_ts: 0h0m46s259ms
|
||||
- status: 16 - sta - openroad
|
||||
runtime_s: 4.32
|
||||
runtime_ts: 0h0m4s317ms
|
||||
- status: 17 - resizer timing optimizations - openroad
|
||||
runtime_s: 32.82
|
||||
runtime_ts: 0h0m32s817ms
|
||||
- status: 18 - sta - openroad
|
||||
runtime_s: 4.71
|
||||
runtime_ts: 0h0m4s705ms
|
||||
- status: 20 - detailed placement - openroad
|
||||
runtime_s: 1.12
|
||||
runtime_ts: 0h0m1s121ms
|
||||
- status: 20 - io diode insertion - openlane
|
||||
runtime_s: 1.23
|
||||
runtime_ts: 0h0m1s227ms
|
||||
- status: 22 - detailed placement - openroad
|
||||
runtime_s: 1.27
|
||||
runtime_ts: 0h0m1s270ms
|
||||
- status: 22 - heuristic diode insertion - openlane
|
||||
runtime_s: 1.39
|
||||
runtime_ts: 0h0m1s386ms
|
||||
- status: 24 - write verilog - openroad
|
||||
runtime_s: 0.95
|
||||
runtime_ts: 0h0m0s948ms
|
||||
- status: 25 - sta - openroad
|
||||
runtime_s: 3.12
|
||||
runtime_ts: 0h0m3s121ms
|
||||
- status: 25 - global routing - openroad
|
||||
runtime_s: 3.12
|
||||
runtime_ts: 0h0m3s121ms
|
||||
- status: 26 - fill insertion - openroad
|
||||
runtime_s: 1.43
|
||||
runtime_ts: 0h0m1s429ms
|
||||
- status: 27 - detailed_routing - openroad
|
||||
runtime_s: 2005.06
|
||||
runtime_ts: 0h33m25s64ms
|
||||
- status: 28 - wire lengths - openlane
|
||||
runtime_s: 0.78
|
||||
runtime_ts: 0h0m0s783ms
|
||||
- status: 29 - parasitics extraction - openroad
|
||||
runtime_s: 5.26
|
||||
runtime_ts: 0h0m5s264ms
|
||||
- status: 30 - sta - openroad
|
||||
runtime_s: 25.57
|
||||
runtime_ts: 0h0m25s574ms
|
||||
- status: 31 - parasitics extraction - openroad
|
||||
runtime_s: 5.44
|
||||
runtime_ts: 0h0m5s437ms
|
||||
- status: 32 - sta - openroad
|
||||
runtime_s: 27.53
|
||||
runtime_ts: 0h0m27s525ms
|
||||
- status: 33 - parasitics extraction - openroad
|
||||
runtime_s: 5.33
|
||||
runtime_ts: 0h0m5s331ms
|
||||
- status: 34 - sta - openroad
|
||||
runtime_s: 25.88
|
||||
runtime_ts: 0h0m25s879ms
|
||||
- status: 35 - sta - openroad
|
||||
runtime_s: 6.66
|
||||
runtime_ts: 0h0m6s657ms
|
||||
- status: 36 - ir drop report - openroad
|
||||
runtime_s: 2.17
|
||||
runtime_ts: 0h0m2s165ms
|
||||
- status: 37 - gdsii - magic
|
||||
runtime_s: 31.98
|
||||
runtime_ts: 0h0m31s977ms
|
||||
- status: 38 - spice extraction - magic
|
||||
runtime_s: 23.73
|
||||
runtime_ts: 0h0m23s725ms
|
||||
- status: 40 - write verilog - openroad
|
||||
runtime_s: 2.6
|
||||
runtime_ts: 0h0m2s604ms
|
||||
- status: 40 - write powered verilog - openlane
|
||||
runtime_s: 2.73
|
||||
runtime_ts: 0h0m2s734ms
|
||||
- status: 41 - lvs - netgen
|
||||
runtime_s: 2.34
|
||||
runtime_ts: 0h0m2s337ms
|
||||
- status: 42 - drc - magic
|
||||
runtime_s: 109.08
|
||||
runtime_ts: 0h1m49s75ms
|
||||
- status: 43 - antenna check - openroad
|
||||
runtime_s: 2.94
|
||||
runtime_ts: 0h0m2s944ms
|
||||
- status: 44 - erc - circuit validity checker
|
||||
runtime_s: 0.37
|
||||
runtime_ts: 0h0m0s374ms
|
||||
---
|
||||
- status: routed
|
||||
runtime_s: 2210.0
|
||||
runtime_ts: 0h36m50s0ms
|
||||
- status: flow completed
|
||||
runtime_s: 2491.0
|
||||
runtime_ts: 0h41m31s0ms
|
|
@ -0,0 +1,7 @@
|
|||
[WARNING]: 17 warnings found by Verilator
|
||||
[WARNING]: Module sky130_fd_sc_hd__tapvpwrvgnd_1 blackboxed during sta
|
||||
[WARNING]: Module sky130_fd_sc_hd__fill_1 blackboxed during sta
|
||||
[WARNING]: Module sky130_fd_sc_hd__fill_2 blackboxed during sta
|
||||
[WARNING]: Module sky130_ef_sc_hd__decap_12 blackboxed during sta
|
||||
[WARNING]: 'housekeeping_alt/runs/23_05_22_04_42/results/signoff/housekeeping_alt.klayout.gds' wasn't found. Skipping GDS XOR.
|
||||
[WARNING]: There are max fanout violations in the design at the typical corner. Please refer to 'housekeeping_alt/runs/23_05_22_04_42/reports/signoff/35-rcx_sta.checks.rpt'.
|
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
|
@ -252,7 +252,7 @@ module housekeeping_alt #(
|
|||
|
||||
|
||||
// Output clock signals buffer wires
|
||||
wire mgmt_gpio_out_9_prebuff, mgmt_gpio_out_14_prebuff;
|
||||
wire mgmt_gpio_out_9_prebuff, mgmt_gpio_out_14_prebuff, mgmt_gpio_out_31_prebuff;
|
||||
wire mgmt_gpio_out_15_prebuff, pad_flash_clk_prebuff;
|
||||
wire mgmt_gpio_out_30_prebuff, pad_flash_31_prebuff;
|
||||
|
||||
|
|
Loading…
Reference in New Issue